Part Number Hot Search : 
1N5340B BL0306 B102J C5032 4017B EC260 01800 1N5340B
Product Description
Full Text Search
 

To Download XPC8245LZU333B Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  the mpc8245 combines a mpc603e core microprocessor with a pci bridge. the pci support on the mpc8245 will allow system designers to rapidly design systems using peripherals already designed for pci and the other standard interfaces. the mpc8245 also integrates a high-performance memory controller which supports various types of rom and sdram. the mpc8245 is the second of a family of products that provides system-level support for industry standard interfaces with a mpc603e processor core. this document describes pertinent electrical and physical characteristics of the mpc8245. for functional characteristics of the processor, refer to the mpc8245 integrated processor users manual (mpc8245um/d). this document contains the following topics: topic page section 1.1, ?verview 1 section 1.2, ?eatures 3 section 1.3, ?eneral parameters 5 section 1.4, ?lectrical and thermal characteristics 5 section 1.5, ?ackage description 31 section 1.6, ?ll con?uration 38 section 1.7, ?ystem design information 43 section 1.8, ?ocument revision history 55 section 1.9, ?rdering information 57 to locate any published errata or updates for this document, refer to the web site at http://www.motorola.com/semiconductors 1.1 overview the mpc8245 integrated processor is comprised of a peripheral logic block and a 32-bit superscalar mpc603e core, as shown in figure 1. advance information mpc8245ec/d rev. 2, 10/2002 mpc8245 integrated processor hardware specifications
2 mpc8245 integrated processor hardware speci?ations motorola overview overview figure 1. mpc8245 block diagram peripheral logic bus instruction unit system integer load/store floating- data instruction 16-kbyte 16-kbyte processor core block processor pll (64-bit) two-instruction fetch (64-bit) two-instruction dispatch 64-bit branch processing unit (bpu) mpc8245 register unit (sru) unit (iu) unit (lsu) point unit (fpu) data cache instruction cache mmu mmu additional features: prog i/o with watchpoint jtag/cop interface power management address translator dll fanout buffers pci arbiter message unit (with i 2 o) i 2 c controller dma controller interrupt controller/ pic timers pci bus interface unit memory controller data path ecc controller central control unit 32-bit osc_in five request/grant pairs i 2 c 5 irqs/ peripheral logic block peripheral logic pll pci bus data (64-bit) address data bus (32- or 64-bit) memory/rom/ portx control/address pci interface clocks 16 serial interrupts configuration registers (32-bit) with 8-bit parity or ecc pci_sync_in sdram_sync_in watchpoint facility duart performance monitor sdram clocks
motorola mpc8245 integrated processor hardware speci?ations 3 features the peripheral logic integrates a pci bridge, dual universal asynchronous receiver/transmitter (duart), memory controller, dma controller, pic interrupt controller, a message unit (and i 2 o interface), and an i 2 c controller. the processor core is a full-featured, high-performance processor with ?ating-point support, memory management, 16-kbyte instruction cache, 16-kbyte data cache, and power management features. the integration reduces the overall packaging requirements and the number of discrete devices required for an embedded system. the mpc8245 contains an internal peripheral logic bus that interfaces the processor core to the peripheral logic. the core can operate at a variety of frequencies, allowing the designer to trade-off performance for power consumption. the processor core is clocked from a separate pll, which is referenced to the peripheral logic pll. this allows the microprocessor and the peripheral logic block to operate at different frequencies, while maintaining a synchronous bus interface. the interface uses a 64- or 32-bit data bus (depending on memory data bus width) and a 32-bit address bus along with control signals that enable the interface between the processor and peripheral logic to be optimized for performance. pci accesses to the mpc8245 memory space are passed to the processor bus for snooping when snoop mode is enabled. the processor core and peripheral logic are general-purpose in order to serve a variety of embedded applications. the mpc8245 can be used as either a pci host or pci agent controller. 1.2 features major features of the mpc8245 are as follows: processor core high-performance, superscalar processor core integer unit (iu), ?ating-point unit (fpu) (software enabled or disabled), load/store unit (lsu), system register unit (sru), and a branch processing unit (bpu) 16-kbyte instruction cache 16-kbyte data cache lockable l1 caches?ntire cache or on a per-way basis up to three of four ways dynamic power management?upports 60x nap, doze, and sleep modes peripheral logic peripheral logic bus supports various operating frequencies and bus divider ratios 32-bit address bus, 64-bit data bus supports full memory coherency decoupled address and data buses for pipelining of peripheral logic bus accesses store gathering on peripheral logic bus-to-pci writes memory interface supports up to 2 gbytes of sdram memory high-bandwidth data bus (32- or 64-bit) to sdram programmable timing supporting sdram supports 1 to 8 banks of 16-, 64-, 128-, 256-, or 512-mbit memory devices write buffering for pci and processor accesses supports normal parity, read-modify-write (rmw), or ecc data-path buffering between memory interface and processor low-voltage ttl logic (lvttl) interfaces
4 mpc8245 integrated processor hardware speci?ations motorola features features 272 mbytes of base and extended rom/flash/portx space base rom space supports 8-bit data path or same size as the sdram data path (32- or 64-bit) extended rom space supports 8-, 16-, 32-bit gathering data path, 32- or 64-bit (wide) data path portx: 8-, 16-, 32-, or 64-bit general-purpose i/o port using rom controller interface with programmable address strobe timing, data ready input signal (drd y ), and 4 chip selects 32-bit pci interface operates up to 66 mhz pci 2.2-compatible pci 5.0-v tolerance support for dual address cycle (dac) for 64-bit pci addressing (master only) support for pci locked accesses to memory support for accesses to pci memory, i/o, and con?uration spaces selectable big- or little-endian operation store gathering of processor-to-pci write and pci-to-memory write accesses memory prefetching of pci read accesses selectable hardware-enforced coherency pci bus arbitration unit (?e request/grant pairs) pci agent mode capability address translation with two inbound and outbound units (atu) some internal con?uration registers accessible from pci two-channel integrated dma controller (writes to rom/portx not supported) supports direct mode or chaining mode (automatic linking of dma transfers) supports scatter gathering?ead or write discontinuous memory 64-byte transfer queue per channel interrupt on completed segment, chain, and error local-to-local memory pci-to-pci memory local-to-pci memory pci memory-to-local memory message unit two doorbell registers two inbound and two outbound messaging registers ? 2 o message interface ? 2 c controller with full master/slave support that accepts broadcast messages programmable interrupt controller (pic) five hardware interrupts (irqs) or 16 serial interrupts four programmable timers with cascade two (dual) universal asynchronous receiver/transmitters (uarts) integrated pci bus and sdram clock generation programmable pci bus and memory interface output drivers system level performance monitor facility
motorola mpc8245 integrated processor hardware speci?ations 5 general parameters debug features memory attribute and pci attribute signals debug address signals miv signal: marks valid address and data bus cycles on the memory bus programmable input and output signals with watchpoint capability error injection/capture on data path ieee 1149.1 (jtag)/test interface 1.3 general parameters the following list provides a summary of the general parameters of the mpc8245: technology 0.25 ? cmos, ?e-layer metal die size 49.2 mm 2 transistor count 4.5 million logic design fully static packages surface-mount 352 tape ball grid array (tbga) core power supply 1.8 v ?100 mv dc (only for 266 and 300 mhz parts) 2.0 v ?100 mv dc (for 266, 300, 333, and 350 mhz parts) (nominal; see table 2 for details and recommended operating conditions) i/o power supply 3.0 to 3.6 v dc 1.4 electrical and thermal characteristics this section provides the ac and dc electrical speci?ations and thermal characteristics for the mpc8245. 1.4.1 dc electrical characteristics this section covers ratings, conditions, and other characteristics.
6 mpc8245 integrated processor hardware speci?ations motorola electrical and thermal characteristics electrical and thermal characteristics 1.4.1.1 absolute maximum ratings the tables in this section describe the mpc8245 dc electrical characteristics. table 1 provides the absolute maximum ratings. table 1. absolute maximum ratings characteristic 1 symbol range unit supply voltage?pu core and peripheral logic v dd ?.3 to 2.1 v supply voltage?emory bus drivers gv dd ?.3 to 3.6 v supply voltage?ci and standard i/o buffers ov dd ?.3 to 3.6 v supply voltage?lls av dd /av dd 2 ?.3 to 2.1 v supply voltage?ci reference lv dd ?.3 to 5.4 v input voltage 2 v in ?.3 to 3.6 v operational die-junction temperature range t j 0 to 105 ? storage temperature range t stg ?5 to 150 ? notes: 1. functional and tested operating conditions are given in table 2. absolute maximum ratings are stress ratings only, and functional operation at the maximums is not guaranteed. stresses beyond those listed may affect device reliability or cause permanent damage to the device. 2. pci inputs with lv dd = 5 v ?5% v dc may be correspondingly stressed at voltages exceeding lv dd + 0.5 v dc.
motorola mpc8245 integrated processor hardware speci?ations 7 electrical and thermal characteristics 1.4.1.2 recommended operating conditions table 2 provides the recommended operating conditions for the mpc8245. table 2. recommended operating conditions characteristic symbol recommended value unit notes supply voltage v dd 1.8 ?100 mv v 4, 6 2.0 ?100 mv v 6 i/o buffer supply for pci and standard ov dd 3.3 ?0.3 v 6 supply voltages for memory bus drivers gv dd 3.3 ?5% v 8 cpu pll supply voltage av dd 1.8 ?100 mv v 4, 6 2.0 ?100 mv v 6 pll supply voltage?eripheral logic av dd 2 1.8 ?100 mv v 4, 6 2.0 ?100 mv v 6 pci reference lv dd 5.0 ?5% v 2, 9, 10 3.3 ?0.3 v 3, 9, 10 input voltage pci inputs v in 0 to 3.6 or 5.75 v 2, 3 all other inputs 0 to 3.6 v 5 die-junction temperature t j 0 to 105 ? notes: 1. these are the recommended and tested operating conditions. proper device operation outside of these conditions is not guaranteed. 2. pci pins are designed to withstand lv dd + 0.5 v dc when lv dd is connected to a 5.0 v dc power supply. 3. pci pins are designed to withstand lv dd + 0.5 v dc when lv dd is connected to a 3.3 v dc power supply. 4. cpu speed limited to 266 and 300 mhz operation at this voltage. see table 7. cautions: 5. input voltage (v in ) must not be greater than the supply voltage (v dd /av dd /av dd 2) by more than 2.5 v at all times including during power-on reset. input voltage (v in ) must not be greater than gv dd /ov dd by more than 0.6 v at all times including during power-on reset. 6. ov dd must not exceed v dd /av dd /av dd 2 by more than 1.8 v at any time including during power-on reset. this limit may be exceeded for a maximum of 20 ms during power-on reset and power-down sequences. 7. v dd /av dd /av dd 2 must not exceed ov dd by more than 0.6 v at any time including during power-on reset. this limit may be exceeded for a maximum of 20 ms during power-on reset and power-down sequences. 8. gv dd must not exceed v dd /av dd /av dd 2 by more than 1.8 v at any time including during power-on reset. this limit may be exceeded for a maximum of 20 ms during power-on reset and power-down sequences. 9. lv dd must not exceed v dd /av dd /av dd 2 by more than 5.4 v at any time including during power-on reset. this limit may be exceeded for a maximum of 20 ms during power-on reset and power-down sequences. 10. lv dd must not exceed ov dd by more than 3.0 v at any time including during power-on reset. this limit may be exceeded for a maximum of 20 ms during power-on reset and power-down sequences.
8 mpc8245 integrated processor hardware speci?ations motorola electrical and thermal characteristics electrical and thermal characteristics figure 2 shows supply voltage sequencing and separation cautions. figure 2. supply voltage sequencing and separation cautions ov dd /gv dd /(lv dd @ 3.3 v - - - -) v dd /av dd /av dd 2 lv dd @ 5 v time 3.3 v 5 v 2.0 v 0 7 10 9 9 10 6, 8 dc power supply voltage voltage regulator delay 2 reset configuration pins hrst_cpu , hrst_ctrl pll relock time 3 100 ? 9 external memory asserted 255 external memory hrst_cpu , hrst_ctrl v dd stable power supply ramp up 2 see note 1 clock cycles 3 clock cycles setup time 4 vm = 1.4 v maximum rise time must be less than one external memory clock cycle 5 notes: 1. numbers associated with waveform separations correspond to caution numbers listed in table 2. 2. refer to section 1.7.2, ?ower supply sizing, for additional information on this topic. 3. refer to table 8 for additional information on pll relock and reset signal assertion timing requirements. 4. refer to table 10 for additional information on reset con?uration pin setup timing requirements. 5. hrst_cpu /hrst_ctrl must transition from a logic 0 to a logic 1 in less than one sdram_sync_in clock cycle for the device to be in the nonreset state.
motorola mpc8245 integrated processor hardware speci?ations 9 electrical and thermal characteristics figure 3 shows the undershoot and overshoot voltage of the memory interface of the mpc8245. figure 3. overshoot/undershoot voltage 1.4.1.3 dc electrical characteristics table 3 provides the dc electrical characteristics for the mpc8245 at recommended operating conditions. table 3. dc electrical speci?ations at recommended operating conditions (see table 2) characteristic condition 3 symbol min max unit notes input high voltage pci only v ih 0.65 ov dd lv dd v1 input low voltage pci only v il 0.3 ov dd v input high voltage all other pins (gv dd = 3.3 v) v ih 2.0 3.3 v input low voltage all inputs except pci_sync_in v il gnd 0.8 v pci_sync_in input high voltage cv ih 2.4 v pci_sync_in input low voltage cv il gnd 0.4 v input leakage current for pins using drv_pci driver 0.5 v v in 2.7 v @ lv dd = 4.75 v i l ?0 ? 4 input leakage current all others lv dd = 3.6 v gv dd 3.465 v i l ?0 ? 4 output high voltage i oh = driver dependent (gv dd = 3.3 v) v oh 2.4 v 2 output low voltage i ol = driver dependent (gv dd = 3.3 v) v ol 0.4 v 2 gnd gnd ?0.3 v gnd ?1.0 v not to exceed 10% gv dd of t sdram_clk gv dd + 5% 4 v v ih v il
10 mpc8245 integrated processor hardware speci?ations motorola electrical and thermal characteristics electrical and thermal characteristics 1.4.1.4 output driver characteristics table 4 provides information on the characteristics of the output drivers referenced in table 17. the values are preliminary estimates from an ibis model and are not tested. capacitance v in = 0 v, f = 1 mhz c in 7.0 pf notes: 1. see table 17 for pins with internal pull-up resistors. 2. see table 4 for the typical drive capability of a speci? signal pin based on the type of output driver associated with that pin as listed in table 17. 3. these speci?ations are for the default driver strengths indicated in table 4. 4. leakage current is measured on input and output pins in the high-impedance state. the leakage current is measured for nominal ov dd /lv dd and v dd or both ov dd /lv dd and v dd must vary in the same direction. table 4. drive capability of mpc8245 output pins 5 driver type programmable output impedance ( ? ) supply voltage i oh i ol unit notes drv_std_mem 20 ov dd = 3.3 v 36.6 18.0 ma 2, 4, 6 40 (default) 18.6 9.2 ma 2, 4, 6 drv_pci 20 12.0 12.4 ma 1, 3 40 (default) 6.1 6.3 ma 1, 3 drv_mem_ctrl 6 (default) gv dd = 3.3 v 89.0 42.3 ma 2, 4 drv_pci_clk 20 36.6 18.0 ma 2, 4 drv_mem_clk 40 18.6 9.2 ma 2, 4 notes: 1. for drv_pci, i oh read from the ibis listing in the pull-up mode, i(min) column, at the 0.33 v label by interpolating between the 0.3- and 0.4-v table entries current values which corresponds to the pci v oh = 2.97 = 0.9 ov dd (ov dd = 3.3 v) where table entry voltage = ov dd ?pci v oh . 2. for all others with gv dd or ov dd = 3.3 v, i oh read from the ibis listing in the pull-up mode, i(min) column, at the 0.9 v table entry which corresponds to the v oh = 2.4 v where table entry voltage = gv dd /ov dd ?v oh . 3. for drv_pci, i ol read from the ibis listing in the pull-down mode, i(min) column, at 0.33 v = pci v ol = 0 ov dd (ov dd = 3.3 v) by interpolating between the 0.3- and 0.4-v table entries. 4. for all others with gv dd or ov dd = 3.3 v, i ol read from the ibis listing in the pull-down mode, i(min) column, at the 0.4-v table entry. 5. see driver bit details for output driver control register (0x73) in the mpc8245 integrated processor users manual . 6. see chip errata no. 19 in the mpc8245/mpc8241 risc microprocessor chip errata . table 3. dc electrical speci?ations (continued) at recommended operating conditions (see table 2) characteristic condition 3 symbol min max unit notes
motorola mpc8245 integrated processor hardware speci?ations 11 electrical and thermal characteristics 1.4.1.5 power characteristics table 5 provides power consumption data for the mpc8245. table 5. power consumption mode pci bus clock/memory bus clock cpu clock frequency (mhz) unit notes 66/66/ 266 66/133/ 266 66/66/ 300 66/100/ 300 33/83/ 333 66/133/ 333 66/100/ 350 typical 1.7 (1.5) 2.0 (1.8) 1.8 (1.7) 2.0 (1.8) 2.0 2.3 2.2 w 1, 5 max?p 2.2 (1.9) 2.4 (2.1) 2.3 (2.)) 2.5 (2.2) 2.6 2.8 2.8 w 1, 2 max?nt 1.8 (1.6) 2.1 (1.8) 2.0 (1.8) 2.1 (1.8) 2.2 2.4 2.4 w 1, 3 doze 1.1 (1.0) 1.4 (1.3) 1.2 (1.1) 1.4 (1.3) 1.4 1.6 1.5 w 1, 4, 6 nap 0.4 (0.4) 0.7 (0.7) 0.4 (0.4) 0.6 (0.6) 0.5 0.7 0.6 w 1, 4, 6 sleep 0.2 (0.2) 0.4 (0.4) 0.2 (0.4) 0.3 (0.3) 0.3 0.4 0.3 w 1, 4, 6 i/o power supplies 10 mode min max unit notes typ?v dd 134 (121) 334 (301) mw 7, 8 typ?v dd 324 (292) 800 (720) mw 7, 9 notes: 1. the values include v dd , av dd , and av dd 2 but do not include i/o supply power, see section 1.7.2, ?ower supply sizing, for information on ov dd and gv dd supply power. values shown in parenthesis ( ) indicate power consumption at v dd /av dd /av dd 2 = 1.8 v. 2. maximum?p power is measured at v dd = 2.1 v with dynamic power management enabled while running an entirely cache-resident, looping, ?ating-point multiplication instruction. 3. maximum?nt power is measured at v dd = 2.1 v with dynamic power management enabled while running entirely cache-resident, looping, integer instructions. 4. power saving mode maximums are measured at v dd = 2.1 v while the device is in doze, nap, or sleep mode. 5. typical power is measured at v dd = av dd = 2.0 v, ov dd = 3.3 v where a nominal fp value, a nominal int value, and a value where there is a continuous ?sh of cache lines with alternating ones and zeros on 64-bit boundaries to local memory are averaged. 6. power saving mode data measured with only two pci_clks and two sdram_clks enabled. 7. the typical minimum i/o power values were results of the mpc8245 performing cache resident integer operations at the slowest frequency combination of 33:66:200 (pci:mem:cpu) mhz. 8. the typical maximum ov dd value resulted from the mpc8245 operating at the fastest frequency combination of 66:100:350 (pci:mem:cpu) mhz and performing continuous ?shes of cache lines with alternating ones and zeros to pci memory. 9. the typical maximum gv dd value resulted from the mpc8245 operating at the fastest frequency combination of 66:100:350 (pci:mem:cpu) mhz and performing continuous ?shes of cache lines with alternating ones and zeros on 64-bit boundaries to local memory. 10. power consumption of pll supply pins (av dd and av dd 2) < 15 mw. guaranteed by design and is not tested.
12 mpc8245 integrated processor hardware speci?ations motorola electrical and thermal characteristics electrical and thermal characteristics 1.4.2 thermal characteristics table 6 provides the package thermal characteristics for the mpc8245. for further information, see section 1.7.9, ?hermal management information. 1.4.3 ac electrical characteristics this section provides the ac electrical characteristics for the mpc8245. after fabrication, functional parts are sorted by maximum processor core frequency as shown in table 7 and tested for conformance to the ac speci?ations for that frequency. the processor core frequency is determined by the bus (pci_sync_in) clock frequency and the settings of the pll_cfg[0:4] signals. parts are sold by maximum processor core frequency. see section 1.9, ?rdering information. table 7 provides the operating frequency information for the mpc8245 at recommended operating conditions (see table 2) with lv dd = 3.3 v ?0.3 v. table 6. thermal characteristics characteristic symbol value unit notes junction-to-ambient natural convection (single-layer board?s) r ja 16.1 ?/w 1, 2 junction-to-ambient natural convection (four-layer board?s2p) r jma 12.0 ?/w 1, 3 junction-to-ambient (@200 ft/min) (single-layer board?s) r jma 11.6 ?/w 1, 3 junction-to-ambient (@200 ft/min) (four layer board?s2p) r jma 9.0 ?/w 1, 3 junction-to-board r jb 4.8 ?/w 4 junction-to-case r jc 1.8 ?/w 5 junction-to-package top (natural convection) jt 1.0 ?/w 6 notes: 1. junction temperature is a function of die size, on-chip power dissipation, package thermal resistance, mounting site (board) temperature, ambient temperature, air?w, power dissipation of other components on the board, and board thermal resistance. 2. per semi g38-87 and jedec jesd51-2 with the single-layer board horizontal. 3. per jedec jesd51-6 with the board horizontal. 4. thermal resistance between the die and the printed-circuit board per jedec jesd51-8. board temperature is measured on the top surface of the board near the package. 5. thermal resistance between the die and the case top surface as measured by the cold plate method (mil spec-883 method 1012.1) with the cold plate used for case temperature. 6. thermal characterization parameter indicating the temperature difference between package top and the junction temperature per jedec jesd51-2. when greek letters are not available, the thermal characterization parameter is written as psi-jt.
motorola mpc8245 integrated processor hardware speci?ations 13 electrical and thermal characteristics 1.4.3.1 clock ac speci?ations table 8 provides the clock ac timing speci?ations at recommended operating conditions, as de?ed in section 1.4.3.2, ?nput ac timing speci?ations.?these speci?ations are for the default driver strengths indicated in table 4. figure 4 shows the pci_sync_in input clock timing diagram with the labeled number items listed in table 8. table 7. operating frequency 1 characteristic 2, 3 266 mhz 300 mhz 333 mhz 350 mhz unit v dd /av dd /av dd 2 = 1.8/2.0 100 mv v dd /av dd /av dd 2 = 2.0 100 mv processor frequency (cpu) 100?66 100?00 100?33 100?50 mhz memory bus frequency 50?33 50?00 4 50?33 50?00 4 mhz pci input frequency 25?6 mhz notes: 1. see part number speci?ation document mpc8245rzupns/d for additional part offering information. 2. caution: the pci_sync_in frequency and pll_cfg[0:4] settings must be chosen such that the resulting peripheral logic/memory bus frequency and cpu (core) frequencies do not exceed their respective maximum or minimum operating frequencies. refer to the pll_cfg[0:4] signal description in section 1.6, ?ll con?uration, for valid pll_cfg[0:4] settings and pci_sync_in frequencies. 3. see table 18 and table 19 for more details on vco limitations for memory and cpu vco frequencies of various pll con?urations. 4. there are no available pll_cfg[0:4] settings which support 133 mhz memory interface operation at 300 mhz cpu and 350 mhz operation, since the multipliers do not allow a 300:133 and 350:133 ratio relation. however, running these parts at slower processor speeds may produce ratios that will run above 100 mhz. see table 18 for the pll settings. table 8. clock ac timing speci?ations at recommended operating conditions (see table 2) with lv dd = 3.3 v ?0.3 v num characteristics and conditions min max unit notes 1a frequency of operation (pci_sync_in) 25 66 mhz 2, 3 pci_sync_in rise and fall times 2.0 ns 1 4 pci_sync_in duty cycle measured at 1.4 v 40 60 % 5a pci_sync_in pulse width high measured at 1.4 v 6 9 ns 2 5b pci_sync_in pulse width low measured at 1.4 v 6 9 ns 2 7 pci_sync_in jitter 150 ps 8a pci_clk[0:4] skew (pin-to-pin) 250 ps 8b sdram_clk[0:3] skew (pin-to-pin) 190 ps 3 10 internal pll relock time 10 0 ? 2, 4, 5 15 dll lock range with dll_extend = 0 disabled (default) (n t clk ?t dp (max)) t loop (n t clk ?t dp (min)) ns 6 16 dll lock range with dll_extend = 1 enabled ((n ?0.5) t clk ?t dp (max)) t loop ((n ?0.5) t clk ?t dp (min)) ns 6
14 mpc8245 integrated processor hardware speci?ations motorola electrical and thermal characteristics electrical and thermal characteristics notes : 1. rise and fall times for the pci_sync_in input are measured from 0.4 to 2.4 v. 2. speci?ation value at maximum frequency of operation. 3. pin-to-pin skew includes quantifying the additional amount of clock skew (or jitter) from the dll besides any intentional skew added to the clocking signals from the variable length dll synchronization feedback loop, that is, the amount of variance between the internal sys_logic_clk and the sdram_sync_in signal after the dll is locked. while pin-to-pin skew between sdram_clks can be measured, the relationship between the internal sys_logic_clk and the external sdram_sync_in cannot be measured and is guaranteed by design. 4. relock time is guaranteed by design and characterization. relock time is not tested. 5. relock timing is guaranteed by design. pll-relock time is the maximum amount of time required for pll lock after a stable v dd and pci_sync_in are reached during the reset sequence. this speci?ation also applies when the pll has been disabled and subsequently re-enabled during sleep mode. also note that hrst_cpu /hrst_ctrl must be held asserted for a minimum of 255 bus clocks after the pll-relock time during the reset sequence. 6. dll_extend is bit 7 of the pmc2 register <72>. n is a non-zero integer (see figures 5 through 8). t clk is the period of one sdram_sync_out clock cycle in ns. t loop is the propagation delay of the dll synchronization feedback loop (pc board runner) from sdram_sync_out to sdram_sync_in in ns; 6.25 inches of loop length (unloaded pc board runner) corresponds to approximately 1 ns of delay. t dp (max) and t dp (min) are dependent on tap delay. see table 9 for values of t dp (max) and t dp (min). see figure 5 through figure 8 for dll locking ranges. refer to motorola application note an2164, mpc8245/mpc8241 memory clock design guidelines , for more details on memory clock design and an explanation of how t dp is de?ed. 7. rise and fall times for the osc_in input is guaranteed by design and characterization. osc_in input rise and fall times are not tested. figure 4. pci_sync_in input clock timing diagram figure 5 through figure 8 show the dll locking range loop delay vs. frequency of operation.these graphs de?e the areas of dll locking for various modes. the grey areas represent where the dll will lock. note also that the dll_max_delay bit can lengthen the amount of time through the delay line. this is accomplished by increasing the time between each of the 128 tap points in the delay line. although this 17 frequency of operation (osc_in) 25 66 mhz 19 osc_in rise and fall times 5 ns 7 20 osc_in duty cycle measured at 1.4 v 40 60 % 21 osc_in frequency stability 100 ppm table 9. t dp (max) and t dp (min) mode t dp (min) t dp (max) unit normal tap delay: bit 2 (dll_max_delay) at offset 0x76 is cleared 7.58 12.97 ns maximum tap delay: bit 2 (dll_max_delay) at offset 0x76 is set 8.28 17.57 ns table 8. clock ac timing speci?ations (continued) at recommended operating conditions (see table 2) with lv dd = 3.3 v ?0.3 v num characteristics and conditions min max unit notes 5a 5b vm vm = midpoint voltage (1.4 v) 2 3 cv il cv ih 1 pci_sync_in vm vm
motorola mpc8245 integrated processor hardware speci?ations 15 electrical and thermal characteristics increased time makes it easier to guarantee that the reference clock will be within the dll lock range, it also means there may be slightly more jitter in the output clock of the dll, should the phase comparator shift the clock between adjacent tap points. refer to motorola application note an2164, mpc8245/mpc8241 memory clock design guidelines , for more details on memory design. figure 5. dll locking range loop delay vs. frequency of operation for dll_extend=1 and normal tap delay 10 15 20 25 12.5 17.5 22.5 27.5 01234 30 7.5 t loop propagation delay time (ns) t clk sdram_sync_out period (ns) n = 1 n = 2
16 mpc8245 integrated processor hardware speci?ations motorola electrical and thermal characteristics electrical and thermal characteristics figure 6. dll locking range loop delay vs. frequency of operation for dll_extend=1 and tap max delay 10 15 20 25 12.5 17.5 22.5 27.5 30 7.5 t clk sdram_sync_out period (ns) n = 1 n = 2 n = 1 n = 2 1234 0 t loop propagation delay time (ns)
motorola mpc8245 integrated processor hardware speci?ations 17 electrical and thermal characteristics figure 7. dll locking range loop delay vs. frequency of operation for dll_extend=0 and normal tap delay 01234 12.5 17.5 22.5 10 15 20 25 7.5 t loop propagation delay time (ns) t clk sdram_sync_out period (ns) n = 1 n = 2
18 mpc8245 integrated processor hardware speci?ations motorola electrical and thermal characteristics electrical and thermal characteristics figure 8. dll locking range loop delay vs. frequency of operation for dll_extend=0 and max tap delay 1.4.3.2 input ac timing speci?ations table 10 provides the input ac timing speci?ations at recommended operating conditions (see table 2) with lv dd = 3.3 v ?0.3 v . see figure 9 and figure 10. 12.5 17.5 22.5 1234 10 15 20 25 0 7.5 t loop propagation delay time (ns) t clk sdram_sync_out period (ns) n = 1 n = 2
motorola mpc8245 integrated processor hardware speci?ations 19 electrical and thermal characteristics table 10. input ac timing speci?ations num characteristic min max unit notes 10a pci input signals valid to pci_sync_in (input setup) 3.0 ns 1, 3 10b memory input signals valid to sdram_sync_in (input setup) 10b0 tap 0, register offset <0x77>, bits 5:4 = 0b00 2.6 ns 2, 3, 6 10b1 tap 1, register offset <0x77>, bits 5:4 = 0b01 1.9 10b2 tap 2, register offset <0x77>, bits 5:4 = 0b10 (default) 1.2 10b3 tap 3, register offset <0x77>, bits 5:4 = 0b11 0.5 10c pic, misc. debug input signals valid to sdram_sync_in (input setup) 3.0 ns 2, 3 10d i 2 c input signals valid to sdram_sync_in (input setup) 3.0 ns 2, 3 10e mode select inputs valid to hrst_cpu /hrst_ctrl (input setup) 9 t clk ns 2, 3? 11 t os ?dram_sync_in to sys_logic_clk offset time 0.65 1.0 ns 7 11a sdram_sync_in to memory signal inputs invalid (input hold) 11a0 tap 0, register offset <0x77>, bits 5:4 = 0b00 0 ns 2, 3, 6 11a1 tap 1, register offset <0x77>, bits 5:4 = 0b01 0.7 11a2 tap 2, register offset <0x77>, bits 5:4 = 0b10 (default) 1.4 11a3 tap 3, register offset <0x77>, bits 5:4 = 0b11 2.1 11b hrst_cpu /hrst_ctrl to mode select inputs invalid (input hold) 0 ns 2, 3, 5 11c pci_sync_in to inputs invalid (input hold) 1.0 ns 1, 2, 3 notes: 1. all pci signals are measured from ov dd /2 of the rising edge of pci_sync_in to 0.4 ov dd of the signal in question for 3.3 v pci signaling levels. see figure 10. 2. all memory and related interface input signal speci?ations are measured from the ttl level (0.8 or 2.0 v) of the signal in question to the vm = 1.4 v of the rising edge of the memory bus clock, sdram_sync_in. sdram_sync_in is the same as pci_sync_in in 1:1 mode, but is twice the frequency in 2:1 mode (processor/memory bus clock rising edges occur on every rising and falling edge of pci_sync_in). see figure 9. 3. input timings are measured at the pin. 4. t clk is the time of one sdram_sync_in clock cycle. 5. all mode select input signals speci?ations are measured from the ttl level (0.8 or 2.0 v) of the signal in question to the vm = 1.4 v of the rising edge of the hrst_cpu /hrst_ctrl signal. see figure 11. 6. the memory interface input setup and hold times are programmable to four possible combinations by programming bits 5:4 of register offset <0x77> to select the desired input setup and hold times. 7. t os represents a timing adjustment for sdram_sync_in with respect to sys_logic_clk . due to the internal delay present on the sdram_sync_in signal with respect to the sys_logic_clk inputs to the dll, the resulting sdram clocks become offset by the delay amount. the feedback trace length of sdram_sync_out to sdram_sync_in must be shortened by this amount relative to the sdram clock output trace lengths to maintain phase-alignment of the memory clocks with respect to sys_logic_clk . note that the dll locking range graphs of figure 5 through figure 8 compensate for t os and there is no additional requirement to shorten t loop by the duration of t os . refer to motorola application note an2164, mpc8245/mpc8241 memory clock design guidelines , for more details on accommodating for the problem of t os and trace measurements in general.
20 mpc8245 integrated processor hardware speci?ations motorola electrical and thermal characteristics electrical and thermal characteristics figure 9. input/output timing diagram referenced to sdram_sync_in figure 10. input/output timing diagram referenced to pci_sync_in 11a vm = midpoint voltage (1.4 v). memory 10b-d inputs/outputs 13b 14b vm vm sdram_sync_in input timing output timing 12b-d 2.0 v 0.8 v 0.8 v 2.0 v t os 11a = input hold time of sdram_sync_in to memory. 12b-d = sdram_sync_in to output valid timing. 13b = output hold time for non-pci signals. 14b = sdram-sync_in to output high-impedance timing for non-pci signals. t os = offset timing required to align sys_logic_clk with sdram_sync_in. the sdram_sync_in signal sys_logic_clk vm pci_sync_in vm vm is adjusted by the dll to accommodate for internal delay. this causes sdram_sync_in to be seen before sys_logic_clk once the dll locks, if no other accommodation is made for the delay. (after dll locks shown in 2:1 mode notes: 10b-d = input signals valid timing. if no compensation for t os is made) ov dd ?2 10a 11c pci_sync_in pci 12a 13a 14a ov dd ?2 ov dd ?2 0.4 ov dd 0.615 ov dd 0.285 ov dd input timing output timing inputs/outputs
motorola mpc8245 integrated processor hardware speci?ations 21 electrical and thermal characteristics figure 11. input timing diagram for mode select signals 1.4.3.3 output ac timing speci?ation table 11 provides the processor bus ac timing speci?ations for the mpc8245 at recommended operating conditions (see table 2) with lv dd = 3.3 v ?0.3 v. see figure 9. all output timings assume a purely resistive 50- ? load (see figure 12). output timings are measured at the pin; time-of-?ght delays must be added for trace lengths, vias, and connectors in the system. these speci?ations are for the default driver strengths indicated in table 4. table 11. output ac timing speci?ations num characteristic min max unit notes 12a pci_sync_in to output valid, see figure 13 12a0 tap 0, pci_hold_del=00, [mcp ,cke] = 11, 66 mhz pci (default) 6.0 ns 1, 3 12a1 tap 1, pci_hold_del=01, [mcp ,cke] = 10 6.5 12a2 tap 2, pci_hold_del=10, [mcp ,cke] = 01, 33 mhz pci 7.0 12a3 tap 3, pci_hold_del=11, [mcp ,cke] = 00 7.5 12b sdram_sync_in to output valid (memory control and data signals) 4.5 ns 2 12c sdram_sync_in to output valid (for all others) 7.0 ns 2 12d sdram_sync_in to output valid (for i 2 c) 5.0 ns 2 12e sdram_sync_in to output valid (rom/flash/portx) 6.0 ns 2 13a output hold (pci), see figure 13 13a0 tap 0, pci_hold_del=00, [mcp ,cke] = 11, 66 mhz pci (default) 2.0 ns 1, 3, 4 13a1 tap 1, pci_hold_del=01, [mcp ,cke] = 10 2.5 13a2 tap 2, pci_hold_del=10, [mcp ,cke] = 01, 33 mhz pci 3.0 13a3 tap 3, pci_hold_del=11, [mcp ,cke] = 00 3.5 13b output hold (all others) 1.0 ns 2 14a pci_sync_in to output high impedance (for pci) 14.0 ns 1, 3 vm vm = midpoint voltage (1.4 v) 11b mode pins 10e hrst_cpu /hrst_ctrl 2.0 v 0.8 v
22 mpc8245 integrated processor hardware speci?ations motorola electrical and thermal characteristics electrical and thermal characteristics figure 12. ac test load for the mpc8245 14b sdram_sync_in to output high impedance (for all others) 4.0 ns 2 notes: 1. all pci signals are measured from gv dd /2 of the rising edge of pci_sync_in to 0.285 ov dd or 0.615 ov dd of the signal in question for 3.3 v pci signaling levels. see figure 10. 2. all memory and related interface output signal speci?ations are speci?d from the vm = 1.4 v of the rising edge of the memory bus clock, sdram_sync_in to the ttl level (0.8 or 2.0 v) of the signal in question. sdram_sync_in is the same as pci_sync_in in 1:1 mode, but is twice the frequency in 2:1 mode (processor/memory bus clock rising edges occur on every rising and falling edge of pci_sync_in). see figure 9. 3. pci bused signals are composed of the following signals: lock , ird y , c/be [3:0], par, trd y , frame , st op , devsel , perr , serr , ad[31:0], req [4:0], gnt [4:0], idsel , int a . 4. in order to meet minimum output hold speci?ations relative to pci_sync_in for both 33- and 66-mhz pci systems, the mpc8245 has a programmable output hold delay for pci signals (the pci_sync_in to output valid timing is also affected). the initial value of the output hold delay is determined by the values on the mcp and cke reset con?uration signals; the values on these two signals are inverted then stored as the initial settings of pci_hold_del = pmcr2[5:4] (power management con?uration register 2 <0x72>), respectively. since mcp and cke have internal pull-up resistors, the default value of pci_hold_del after reset is 0b00. further output hold delay values are available by programming the pci_hold_del value of the pmcr2 con?uration register. see figure 13. table 11. output ac timing speci?ations (continued) num characteristic min max unit notes output z 0 = 50 ? ov dd /2 for pci r l = 50 ? output measurements are made at the device pin gv dd /2 for memory
motorola mpc8245 integrated processor hardware speci?ations 23 electrical and thermal characteristics figure 13. pci_hold_del effect on output valid and hold time pci_sync_in pci inputs/outputs 33 mhz pci 12a2, 8.1 ns for 33 mhz pci pci_hold_del = 10 12a0, 5.5 ns for 66 mhz pci pci_hold_del = 00 13a2, 2.1 ns for 33 mhz pci pci_hold_del = 10 13a0, 1 ns for 66 mhz pci pci_hold_del = 00 output valid output hold note: diagram not to scale. as pci_hold_del values decrease pci inputs and outputs pci inputs/outputs 66 mhz pci 12a0, 5.5 ns for 66 mhz pci pci_hold_del = 00 as pci_hold_del values increase ov dd /2 ov dd /2
24 mpc8245 integrated processor hardware speci?ations motorola electrical and thermal characteristics electrical and thermal characteristics 1.4.3.4 i 2 c ac timing speci?ations table 12 provides the i 2 c input ac timing speci?ations for the mpc8245 at recommended operating conditions (see table 2) with lv dd = 3.3 v ?0.3 v. table 12. i 2 c input ac timing speci?ations num characteristic min max unit notes 1 start condition hold time 4.0 clks 1, 2 2 clock low period (time before the mpc8245 will drive scl low as a transmitting slave after detecting scl low as driven by an external master) 8.0 + (16 2 fdr[4:2] ) (5 ? 4({fdr[5],fdr[1]} == b?0) ? 3({fdr[5],fdr[1]} == b?1) ? 2({fdr[5],fdr[1]} == b?0) ? 1({fdr[5],fdr[1]} == b?1)) clks 1, 2, 4, 5 3 scl/sda rise time (from 0.5 v to 2.4 v) 1 ms 4 data hold time 0 ns 2 5 scl/sda fall time (from 2.4 v to 0.5 v) 1 ms 6 clock high period (time needed to either receive a data bit or generate a start or stop) 5.0 clks 1, 2, 5 7 data setup time 3.0 ns 3 8 start condition setup time (for repeated start condition only) 4.0 clks 1,2 9 stop condition setup time 4.0 clks 1, 2 notes: 1. units for these speci?ations are in sdram_clk units. 2. the actual values depend on the setting of the digital ?ter frequency sampling rate (dffsr) bits in the frequency divider register i2cfdr. therefore, the noted timings in the above table are all relative to quali?d signals. the quali?d scl and sda are delayed signals from what is seen in real time on the i 2 c bus. the quali?d scl, sda signals are delayed by the sdram_clk clock times dffsr times 2 plus 1 sdram_clk clock. the resulting delay value is added to the value in the table (where this note is referenced). see figure 15. 3. timing is relative to the sampling clock (not scl). 4. fdr[ x ] refers to the frequency divider register i2cfdr bit x . 5. input clock low and high periods in combination with the fdr value in the frequency divider register (i2cfdr) determine the maximum i 2 c input frequency. see table 13.
motorola mpc8245 integrated processor hardware speci?ations 25 electrical and thermal characteristics table 13 provides the i 2 c frequency divider register (i2cfdr) information for the mpc8245. table 13. mpc8245 maximum i 2 c input frequency fdr hex 2 divider 2 (dec) max i 2 c input frequency 1 sdram_clk @ 33 mhz sdram_clk @ 50 mhz sdram_clk @ 100 mhz sdram_clk @ 133 mhz 20, 21 160, 192 1.13 mhz 1.72 mhz 3.44 mhz 4.58 mhz 22, 23, 24, 25 224, 256, 320, 384 733 1.11 mhz 2.22 mhz 2.95 mhz 0, 1 288, 320 540 819 1.63 mhz 2.18 mhz 2, 3, 26, 27, 28, 29 384, 448, 480, 512, 640, 768 428 649 1.29 mhz 1.72 mhz 4, 5 576, 640 302 458 917 1.22 mhz 6, 7, 2a, 2b, 2c, 2d 768, 896, 960, 1024, 1280, 1536 234 354 709 943 8, 9 1152, 1280 160 243 487 648 a, b, 2e, 2f, 30, 31 1536, 1792, 1920, 2048, 2560, 3072 122 185 371 494 c, d 2304, 2560 83 125 251 335 e, f, 32, 33, 34, 35 3072, 3584, 3840, 4096, 5120, 6144 62 95 190 253 10, 11 4608, 5120 42 64 128 170 12, 13, 36, 37, 38, 39 6144, 7168, 7680, 8192, 10240, 12288 31 48 96 128 14, 15 9216, 10240 21 32 64 85 16, 17, 3a, 3b, 3c, 3d 12288, 14336, 15360, 16384, 20480, 24576 16 24 48 64 18, 19 18432, 20480 10 16 32 43 1a, 1b, 3e, 3f 24576, 28672, 30720, 32768 8 122432 1c, 1d 36864, 40960 5 8 16 21 1e, 1f 49152, 61440 4 6 12 16 notes: 1. values are in khz unless otherwise speci?d. 2. fdr hex and divider (dec) values are listed in corresponding order. 3. multiple divider (dec) values will generate the same input frequency, but each divider (dec) value will generate a unique output frequency as shown in table 14.
26 mpc8245 integrated processor hardware speci?ations motorola electrical and thermal characteristics electrical and thermal characteristics table 14 provides the i 2 c output ac timing speci?ations for the mpc8245 at recommended operating conditions (see table 2) with lv dd = 3.3 v ?0.3 v. figure 14. i 2 c timing diagram i table 14. i 2 c output ac timing speci?ations num characteristic min max unit notes 1 start condition hold time (fdr[5] == 0) (d fdr /16)/2n + (fdr[5] == 1) (d fdr /16)/2m clks 1, 2, 3 2 clock low period d fdr /2 clks 1, 2, 3 3 scl/sda rise time (from 0.5 v to 2.4 v) ms 4 4 data hold time 8.0 + (16 2 fdr[4:2] ) (5 ? 4({fdr[5],fdr[1]} == b?0) ? 3({fdr[5],fdr[1]} == b?1) ? 2({fdr[5],fdr[1]} == b?0) ? 1({fdr[5],fdr[1]} == b?1)) clks 1, 2, 3 5 scl/sda fall time (from 2.4 v to 0.5 v) ? 5ns5 6 clock high time d fdr /2 clks 1, 2, 3 7 data setup time (mpc8245 as a master only) (d fdr /2) ?(output data hold time) clks 1, 3 8 start condition setup time (for repeated start condition only) d fdr + (output start condition hold time) clks 1, 2, 3 9 stop condition setup time 4.0 clks 1, 2 notes: 1. units for these speci?ations are in sdram_clk units. 2. the actual values depend on the setting of the digital ?ter frequency sampling rate (dffsr) bits in the frequency divider register i2cfdr. therefore, the noted timings in the above table are all relative to quali?d signals. the quali?d scl and sda are delayed signals from what is seen in real time on the i 2 c bus. the quali?d scl, sda signals are delayed by the sdram_clk clock times dffsr times 2 plus 1 sdram_clk clock. the resulting delay value is added to the value in the table (where this note is referenced). see figure 15. 3. d fdr is the decimal divider number indexed by fdr[5:0] value. refer to table 10-5 in the mpc8245 integrated processor users manual. fdr[ x ] refers to bit x of the frequency divider register i2cfdr. n is equal to a variable number that would make the result of the divide (data hold time value) equal to a number less than 16. m is equal to a variable number that would make the result of the divide (data hold time value) equal to a number less than 9. 4. since scl and sda are open-drain type outputs, which the mpc8245 can only drive low, the time required for scl or sda to reach a high level depends on external signal capacitance and pull-up resistor values. 5. speci?d at a nominal 50 pf load. scl sda vm vm 6 2 1 4
motorola mpc8245 integrated processor hardware speci?ations 27 electrical and thermal characteristics figure 15. i 2 c timing diagram ii figure 16. i 2 c timing diagram iii figure 17. i 2 c timing diagram iv (quali?d signal) scl sda vm v l v h 9 8 3 5 input data valid dffsr filter clock sda 7 note: dffsr ?ter clock is the sdram_clk clock times dffsr value. scl/sda realtime vm scl/sda qualified vm delay note: the delay is the local memory clock times dffsr times two plus one local memory clock.
28 mpc8245 integrated processor hardware speci?ations motorola electrical and thermal characteristics electrical and thermal characteristics 1.4.3.5 pic serial interrupt mode ac timing speci?ations table 15 provides the pic serial interrupt mode ac timing speci?ations for the mpc8245 at recommended operating conditions (see table 2) with gv dd = 3.3 v ?5% and lv dd = 3.3 v ?0.3 v. figure 18. pic serial interrupt mode output timing diagram table 15. pic serial interrupt mode ac timing speci?ations num characteristic min max unit notes 1 s_clk frequency 1/14 sdram_sync_in 1/2 sdram_sync_in mhz 1 2 s_clk duty cycle 40 60 % 3 s_clk output valid time 6 ns 4 output hold time 0 ns 5 s_frame , s_rst output valid time 1 sys_logic_clk period + 6 ns 2 6 s_int input setup time to s_clk 1 sys_logic_clk period + 2 ns 2 7 s_int inputs invalid (hold time) to s_clk 0 ns 2 notes: 1. see the mpc8245 integrated processor users manual for a description of the pic interrupt control register (icr) describing s_clk frequency programming. 2. s_rst, s_frame , and s_int shown in figure 18 and figure 19, depict timing relationships to sys_logic_clk and s_clk and do not describe functional relationships between s_rst, s_frame , and s_int. see the mpc8245 integrated processor users manual for a complete description of the functional relationships between these signals. 3. the sys_logic_clk waveform is the clocking signal of the internal peripheral logic from the output of the peripheral logic pll; sys_logic_clk is the same as sdram_sync_in when the sdram_sync_out to sdram_sync_in feedback loop is implemented and the dll is locked. see the mpc8245 integrated processor users manual for a complete clocking description. s_clk s_rst vm vm vm s_frame sys_logic_clk vm vm vm vm 4 3 5 4
motorola mpc8245 integrated processor hardware speci?ations 29 electrical and thermal characteristics figure 19. pic serial interrupt mode input timing diagram 1.4.3.6 ieee 1149.1 (jtag) ac timing speci?ations table 16 provides the jtag ac timing speci?ations for the mpc8245 while in the jtag operating mode at recommended operating conditions (see table 2) with lv dd = 3.3 v ?0.3 v. timings are independent of the system clock (pci_sync_in). figure 20. jtag clock input timing diagram table 16. jtag ac timing speci?ation (independent of pci_sync_in) num characteristic min max unit notes tck frequency of operation 0 25 mhz 1 tck cycle time 40 ns 2 tck clock pulse width measured at 1.5 v 20 ns 3 tck rise and fall times 0 3 ns 4 trst setup time to tck falling edge 10 ns 1 5 trst assert time 10 ns 6 input data setup time 5 ns 2 7 input data hold time 15 ns 2 8 tck to output data valid 0 30 ns 3 9 tck to output high impedance 0 30 ns 3 10 tms, tdi data setup time 5 ns 11 tms, tdi data hold time 15 ns 12 tck to tdo data valid 0 15 ns 13 tck to tdo high impedance 0 15 ns notes: 1. trst is an asynchronous signal. the setup time is for test purposes only. 2. nontest (other than tdi and tms) signal input timing with respect to tck. 3. nontest (other than tdo) signal output timing with respect to tck. 6 s_clk s_int 7 vm tck 2 2 1 vm vm vm 3 3 vm = midpoint voltage
30 mpc8245 integrated processor hardware speci?ations motorola electrical and thermal characteristics electrical and thermal characteristics figure 21. jtag trst timing diagram figure 22. jtag boundary scan timing diagram figure 23. test access port timing diagram 4 5 trst tck 6 7 input data valid 8 9 output data valid tck data inputs data outputs data outputs 10 11 input data valid 12 13 output data valid tck tdi, tms tdo tdo
motorola mpc8245 integrated processor hardware speci?ations 31 package description 1.5 package description this section details package parameters, pin assignments, and dimensions. 1.5.1 package parameters for the mpc8245 the mpc8245 uses a 35 mm 35 mm, cavity up, 352-pin tape ball grid array (tbga) package. the package parameters are as follows. package outline 35 mm 35 mm interconnects 352 pitch 1.27 mm solder balls 62 sn/36 pb/2 ag solder ball diameter 0.75 mm maximum module height 1.65 mm co-planarity speci?ation 0.15 mm maximum force 6.0 lbs. total, uniformly distributed over package (8 grams/ball)
32 mpc8245 integrated processor hardware speci?ations motorola package description package description 1.5.2 pin assignments and package dimensions figure 24 shows the top surface, side pro?e, and pinout of the mpc8245, 352 tbga package. figure 24. mpc8245 package dimensions and pinout assignments b a c ?e ?f 0.150 ?t t h g 25 23 21 19 17 15 13 11 9 7 5 3 1 a c e g j l n r u w aa ac ae 352x ? d min max a 34.8 35.2 b 34.8 35.2 c 1.45 1.65 d .60 .90 g 1.27 basic h .85 .95 k 31.75 basic l .50 .70 top view notes: 26 24 22 20 18 16 14 12 10 8 6 4 2 b d f h k m p t v y ab ad af corner k l bottom view 1. drawing not to scale. 2. all measurements are in millimeters (mm) . k
motorola mpc8245 integrated processor hardware speci?ations 33 package description 1.5.3 pinout listings table 17 provides the pinout listing for the mpc8245, 352 tbga package. table 17. mpc8245 pinout listing name pin number type power supply output driver type notes pci interface signals c/be [3:0] p25 k23 f23 a25 i/o ov dd drv_pci 6, 15 devsel h26 i/o ov dd drv_pci 8, 15 frame j24 i/o ov dd drv_pci 8, 15 ird y k25 i/o ov dd drv_pci 8, 15 lock j26 input ov dd ? ad[31:0] v25 u25 u26 u24 u23 t25 t26 r25 r26 n26 n25 n23 m26 m25 l25 l26 f24 e26 e25 e23 d26 d25 c26 a26 b26 a24 b24 d19 b23 b22 d22 c22 i/o ov dd drv_pci 6, 15 par g25 i/o ov dd drv_pci 15 gnt [3:0] w25 w24 w23 v26 output ov dd drv_pci 6, 15 gnt4 /da5 w26 output ov dd drv_pci 7, 15, 14 req [3:0] y25 aa26 aa25 ab26 input ov dd 6, 12 req4 /da4 y26 i/o ov dd 12, 14 perr g26 i/o ov dd drv_pci 8, 15, 18 serr f26 i/o ov dd drv_pci 8, 15, 16 st op h25 i/o ov dd drv_pci 8, 15 trd y k26 i/o ov dd drv_pci 8, 15 int a ac26 output ov dd drv_pci 10, 15, 16 idsel p26 input ov dd memory interface signals mdl[0:31] ad17 ae17 ae15 af15 ac14 ae13 af13 af12 af11 af10 af9 ad8 af8 af7 af6 ae5 b1 a1 a3 a4 a5 a6 a7 d7 a8 b8 a10 d10 a12 b11 b12 a14 i/o gv dd drv_std_mem 5, 6
34 mpc8245 integrated processor hardware speci?ations motorola package description package description mdh[0:31] ac17 af16 ae16 ae14 af14 ac13 ae12 ae11 ae10 ae9 ae8 ac7 ae7 ae6 af5 ac5 e4 a2 b3 d4 b4 b5 d6 c6 b7 c9 a9 b10 a11 a13 b13 a15 i/o gv dd drv_std_mem 6 dqm[0:7] ab1 ab2 k3 k2 ac1 ac2 k1 j1 output gv dd drv_mem_ctrl 6 cs [0:7] y4 aa3 aa4 ac4 m2 l2 m1 l1 output gv dd drv_mem_ctrl 6 foe h1 i/o gv dd drv_mem_ctrl 3, 4 rcs0 n4 output gv dd drv_mem_ctrl 3, 4 rcs1 n2 output gv dd drv_mem_ctrl rcs2 /trig_in af20 i/o ov dd 10, 14 rcs3 /trig_out ac18 output gv dd drv_mem_ctrl 14 sdma[1:0] w1 w2 i/o gv dd drv_mem_ctrl 3, 4, 6 sdma[11:2] n1 r1 r2 t1 t2 u4 u2 u1 v1 v3 output gv dd drv_mem_ctrl 6 drd y b20 input ov dd 9, 14 sdma12/sreset b16 i/o gv dd drv_mem_ctrl 10, 14 sdma13/tben b14 i/o gv dd drv_mem_ctrl 10, 14 sdma14/ chkst op_in d14 i/o gv dd drv_mem_ctrl 10, 14 sdba1 p1 output gv dd drv_mem_ctrl sdba0 p2 output gv dd drv_mem_ctrl par[0:7] af3 ae3 g4 e2 ae4 af4 d2 c2 i/o gv dd drv_std_mem 6 sdras ad1 output gv dd drv_mem_ctrl 3 sdcas ad2 output gv dd drv_mem_ctrl 3 cke h2 output gv dd drv_mem_ctrl 3, 4 we aa1 output gv dd drv_mem_ctrl as y1 output gv dd drv_mem_ctrl 3, 4 pic control signals irq0/s_int c19 input ov dd irq1/s_clk b21 i/o ov dd drv_pci irq2/s_rst ac22 i/o ov dd drv_pci table 17. mpc8245 pinout listing (continued) name pin number type power supply output driver type notes
motorola mpc8245 integrated processor hardware speci?ations 35 package description irq3/s_frame ae24 i/o ov dd drv_pci irq4/l_int a23 i/o ov dd drv_pci i 2 c control signals sda ae20 i/o ov dd drv_std_mem 10, 16 scl af21 i/o ov dd drv_std_mem 10, 16 duart control signals sout1/pci_clk0 ac25 output gv dd drv_pci_clk 13, 14 sin1/pci_clk1 ab25 i/o gv dd drv_pci_clk 13, 14 sout2/r ts1 / pci_clk2 ae26 output gv dd drv_pci_clk 13, 14 sin2/cts1 / pci_clk3 af25 i/o gv dd drv_pci_clk 13, 14 clock-out signals pci_clk0/sout1 ac25 output gv dd drv_pci_clk 13, 14 pci_clk1/sin1 ab25 i/o gv dd drv_pci_clk 13, 14 pci_clk2/r ts1 / sout2 ae26 output gv dd drv_pci_clk 13, 14 pci_clk3/cts1 / sin2 af25 i/o gv dd drv_pci_clk 13, 14 pci_clk4/da3 af26 output gv dd drv_pci_clk 13, 14 pci_sync_out ad25 output gv dd drv_pci_clk pci_sync_in ab23 input gv dd sdram_clk [0:3] d1 g1 g2 e1 output gv dd drv_mem_ctrl or drv_mem_clk 6, 21 sdram_sync_out c1 output gv dd drv_mem_ctrl or drv_mem_clk 21 sdram_sync_in h3 input gv dd cko/da1 b15 output ov dd drv_std_mem 14 osc_in ad21 input ov dd ?9 miscellaneous signals hrst_ctrl a20 input ov dd hrst_cpu a19 input ov dd mcp a17 output ov dd drv_std_mem 3, 4, 17 table 17. mpc8245 pinout listing (continued) name pin number type power supply output driver type notes
36 mpc8245 integrated processor hardware speci?ations motorola package description package description nmi d16 input ov dd smi a18 input ov dd ?0 sreset /sdma12 b16 i/o gv dd drv_mem_ctrl 10, 14 tben/sdma13 b14 i/o gv dd drv_mem_ctrl 10, 14 qa ck /da0 f2 output ov dd drv_std_mem 3, 4, 14 chkst op_in / sdma14 d14 i/o gv dd drv_mem_ctrl 10, 14 trig_in/rcs2 af20 i/o ov dd 10, 14 trig_out/rcs3 ac18 output gv dd drv_mem_ctrl 14 maa[0:2] af2 af1 ae1 output gv dd drv_std_mem 3, 4, 6 miv a16 output ov dd ?4 pmaa[0:1] ad18 af18 output ov dd drv_std_mem 3, 4, 6, 15 pmaa[2] ae19 output ov dd drv_std_mem 4, 6, 15 test/con?uration signals pll_cfg[0:4]/ da[10:6] a22 b19 a21 b18 b17 i/o ov dd drv_std_mem 6, 14, 20 test0 ad22 input ov dd 1, 9 drd y b20 input ov dd 9, 10, 14 rtc y2 input gv dd ?1 tck af22 input ov dd 9, 12 tdi af23 input ov dd 9, 12 tdo ac21 output ov dd ?4 tms ae22 input ov dd 9, 12 trst ae23 input ov dd 9, 12 power and ground signals gnd aa2 aa23 ac12 ac15 ac24 ac3 ac6 ac9 ad11 ad14 ad16 ad19 ad23 ad4 ae18 ae2 ae21 ae25 b2 b25 b6 b9 c11 c13 c16 c23 c4 c8 d12 d15 d18 d21 d24 d3 f25 f4 h24 j25 j4 l24 l3 m23 m4 n24 p3 r23 r4 t24 t3 v2 v23 w3 ground table 17. mpc8245 pinout listing (continued) name pin number type power supply output driver type notes
motorola mpc8245 integrated processor hardware speci?ations 37 package description lv dd ac20 ac23 d20 d23 g23 p23 y23 reference voltage 3.3 v, 5.0 v lv dd gv dd ab3 ab4 ac10 ac11 ac8 ad10 ad13 ad15 ad3 ad5 ad7 c10 c12 c3 c5 c7 d13 d5 d9 e3 g3 h4 k4 l4 n3 p4 r3 u3 v4 y3 power for memory drivers 3.3 v gv dd ov dd ab24 ad20 ad24 c14 c20 c24 e24 g24 j23 k24 m24 p24 t23 y24 pci/stnd 3.3 v ov dd v dd aa24 ac16 ac19 ad12 ad6 ad9 c15 c18 c21 d11 d8 f3 h23 j3 l23 m3 r24 t4 v24 w4 power for core 1.8/2.0 v v dd ?2 no connect d17 23 av dd c17 power for pll (cpu core logic) 1.8/2.0 v av dd ?2 av dd 2 af24 power for pll (peripheral logic) 1.8/ 2.0 v av dd 2 22 debug/manufacturing pins da0/qa ck f2 output ov dd drv_std_mem 3, 4, 14 da1/cko b15 output ov dd drv_std_mem 14 da2 c25 output ov dd drv_pci 2 da3/pci_clk4 af26 output gv dd drv_pci_clk 14 da4/req4 y26 i/o ov dd 12, 14 da5/gnt4 w26 output ov dd drv_pci 7, 15, 14 da[10:6]/ pll_cfg[0:4] a22 b19 a21 b18 b17 i/o ov dd drv_std_mem 6, 14, 20 da[11] ad26 output ov dd drv_pci 2 da[12:13] af17 af19 output ov dd drv_std_mem 2, 6 table 17. mpc8245 pinout listing (continued) name pin number type power supply output driver type notes
38 mpc8245 integrated processor hardware speci?ations motorola pll configuration pll configuration 1.6 pll con?uration the internal plls of the mpc8245 are con?ured by the pll_cfg[0:4] signals. for a given pci_sync_in (pci bus) frequency, the pll con?uration signals set both the peripheral logic/memory bus pll (vco) frequency of operation for the pci-to-memory frequency multiplying and the mpc603e cpu pll (vco) frequency of operation for memory-to-cpu frequency multiplying. the pll con?urations for the mpc8245 is shown in table 18 and table 19. da[14:15] f1 j2 output gv dd drv_mem_ctrl 2, 6 notes: 1. place a pull-up resistor of 120 ? or less on the test0 pin. 2. treat these pins as no connects (nc) unless using debug address functionality. 3. this pin has an internal pull-up resistor which is enabled only when the mpc8245 is in the reset state. the value of the internal pull-up resistor is not guaranteed, but is suf?ient to ensure that a logic 1 is read into con?uration bits during reset. 4. this pin is a reset con?uration pin. 5. dl[0] is a reset con?uration pin and has an internal pull-up resistor which is enabled only when the mpc8245 is in the reset state. the value of the internal pull-up resistor is not guaranteed, but is suf?ient to ensure that a logic 1 is read into con?uration bits during reset. 6. multi-pin signals such as ad[31:0] or mdl[0:31] have their physical package pin numbers listed in order, corresponding to the signal names. example: ad0 is on pin c22, ad1 is on pin d22, ..., ad31 is on pin v25. 7. gnt4 is a reset con?uration pin and has an internal pull-up resistor which is enabled only when the mpc8245 is in the reset state. 8. recommend a weak pull-up resistor (2?0 k ? ) be placed on this pci control pin to lv dd . 9. v ih and v il for these signals are the same as the pci v ih and v il entries in table 3. 10. recommend a weak pull-up resistor (2?0 k ? ) be placed on this pin to ov dd . 11. recommend a weak pull-up resistor (2?0 k ? ) be placed on this pin to gv dd . 12. this pin has an internal pull-up resistor which is enabled at all times. the value of the internal pull-up resistor is not guaranteed, but is suf?ient to prevent unused inputs from ?ating. 13. external pci clocking source or fan-out buffer may be required for system if using the mpc8245 duart functionality since pci_clk[0:3] are not available in duart mode. only pci_clk4 is available in duart mode. 14. this pin is a multiplexed signal and appears more than once in this table. 15. this pin is affected by programmable pci_hold_del parameter. 16. this pin is an open drain signal. 17. this pin can be programmed to be driven (default) or can be programmed (in pmcr2) to be open drain. 18. this pin is a sustained three-state pin as de?ed by the pci local bus speci?ation. 19. osc_in utilizes the 3.3-v pci interface driver which is 5-v tolerant, see table 2 for details. 20. pll_cfg[0:4] signals are sampled a few clocks after the negation of hrst_cpu and hrst_ctrl . 21. sdram_clk[0:3] and sdram_sync_out signals use drv_mem_ctrl for chip rev 1.1 (a). these signals use drv_mem_clk for chip rev 1.2 (b). 22. the 266- and 300-mhz part offerings can be run at a source voltage of 1.8 ?100 mv or 2.0 ?100 mv. note that source voltage should be 2.0 ?100 mv for 333- and 350-mhz parts. 23. this pin was formally lavdd on the mpc8240. it is a no connect on the mpc8245. this should not pose a problem when replacing an mpc8240 with an mpc8245. 24. the driver capability of this pin is hardwired to 40 ? and cannot be changed. table 17. mpc8245 pinout listing (continued) name pin number type power supply output driver type notes
motorola mpc8245 integrated processor hardware speci?ations 39 pll configuration table 18. pll con?urations (266- and 300-mhz parts) ref pll_ cfg [0:4] 10,13 266 mhz part 9 300 mhz part 9 multipliers pci clock input (pci_ sync_in) range 1 (mhz) periph logic/ mem bus clock range (mhz) cpu clock range (mhz) pci clock input (pci_ sync_in) range 1 (mhz) periph logic/ mem bus clock range (mhz) cpu clock range (mhz) pci-to- mem (mem vco) mem-to- cpu (cpu vco) 0 00000 12 25?5 5 75?05 188?63 25?0 5 75?20 188?00 3 (2) 2.5 (2) 1 00001 12 25?9 5 75?8 225?64 25?3 5 75?9 225?97 3 (2) 3 (2) 2 00010 11 50 18 ?9 5 50?9 225?66 50 18 ?6 1 50?6 225?97 1 (4) 4.5 (2) 3 00011 11,1 4 50 4 ?6 1 50?6 100?33 50 4 ?6 1 50?6 100?33 1 (bypass) 2 (4) 4 00100 12 25?6 4 50?2 100?84 25?6 4 50?2 100?84 2 (4) 2 (4) 5 00101 reserved reserved note 20 6 00110 15 bypass bypass bypass 7 00111 14 60 6 ?6 1 60?6 180?98 60 6 ?6 1 60?6 180?98 1 (bypass) 3 (2) 8 01000 12 60 6 ?6 1 60?6 180?98 60 6 ?6 1 60?6 180?98 1 (4) 3 (2) 9 01001 19 45 6 ?6 1 90?32 180?64 45 6 ?6 1 90?32 180?64 2 (2) 2 (2) a 01010 12 25?9 5 50?8 225?61 25?3 5 50?6 225?97 2 (4) 4.5 (2) b 01011 19 45 3 ?9 5 68?8 204?64 45 3 ?6 1 68?9 204?97 1.5 (2) 3 (2) c 01100 12 36 6 ?6 4 72?2 180?30 36 6 ?6 4 72?2 180?30 2 (4) 2.5 (2) d 01101 19 45 3 ?0 5 68?5 238?63 45 3 ?7 5 68?5 238?98 1.5 (2) 3.5 (2) e 01110 12 30 6 ?4 5 60?8 180?64 30 6 ?6 4 60?2 180?76 2 (4) 3 (2) f 01111 19 25 5 75 263 25?8 5 75?5 263?98 3 (2) 3.5 (2) 10 10000 12 30 6 ?4 2,5 60?32 180?64 30 6 ?4 2 60?32 180?64 3 (2) 2 (2) 11 10001 19 25?6 5 100?06 250?66 25?9 2 100?16 250?90 4 (2) 2.5 (2) 12 10010 12 60 6 ?6 1 90?9 180?98 60 6 ?6 1 90?9 180?98 1.5 (2) 2 (2) 13 10011 19 not available 25 2 100 300 4 (2) 3 (2) 14 10100 12 26 6 ?8 5 52?6 182?66 26 6 ?2 5 52?4 182?94 2 (4) 3.5 (2) 15 10101 19 not available 27 3 ?0 5 68?5 272?00 2.5 (2) 4 (2) 16 10110 12 25?3 5 50?6 200?64 25?7 5 50?4 200?96 2 (4) 4 (2) 17 10111 19 25?3 5 100?32 200?64 25?3 2 100?32 200?64 4 (2) 2 (2) 18 11000 12 27 3 ?5 5 68?8 204?64 27 3 ?0 5 68?00 204?00 2.5 (2) 3 (2) 19 11001 19 36 6 ?3 5 72?06 180?65 36 6 ?9 2 72?18 180?95 2 (2) 2.5 (2) 1a 11010 12 50 18 ?6 1 50?6 200?64 50 18 ?6 1 50?6 200?64 1 (4) 4 (2) 1b 11011 19 33 6 ?4 5 66?8 198?64 33 6 ?0 5 66?00 198?00 2 (2) 3 (2)
40 mpc8245 integrated processor hardware speci?ations motorola pll configuration pll configuration 1c 11100 12 44 6 ?9 5 66?8 198?64 44 6 ?6 1 66?9 198?97 1.5 (2) 3 (2) 1d 11101 12 48 6 ?6 1 72?9 180?48 48 6 ?6 1 72?9 180?48 1.5 (2) 2.5 (2) 1e 11110 8 not usable not usable off off 1f 11111 8 not usable not usable off off notes: 1. limited by maximum pci input frequency (66 mhz). 2 limited by maximum system memory interface operating frequency (100 mhz @ 350 mhz cpu). 3. limited by minimum memory vco frequency (133 mhz). 4. limited due to maximum memory vco frequency (372 mhz). 5. limited by maximum cpu operating frequency. 6. limited by minimum cpu vco frequency (360 mhz). 7. limited by maximum cpu vco frequency (800 mhz). 8. in clock off mode, no clocking occurs inside the mpc8245 regardless of the pci_sync_in input. 9. range values are shown rounded down to the nearest whole number (decimal place accuracy removed) for clarity. 10. pll_cfg[0:4] settings not listed are reserved. 11. multiplier ratios for this pll_cfg[0:4] setting are different from the mpc8240 and are not backwards-compatible. 12. pci_sync_in range for this pll_cfg[0:4] setting is different from the mpc8240 and may not be fully backwards-compatible. 13. bits 7? of register offset <0xe2> contain the pll_cfg[0:4] setting value. 14. in pll bypass mode, the pci_sync_in input signal clocks the internal processor directly, the peripheral logic pll is disabled, and the bus mode is set for 1:1 (pci:mem) mode operation. this mode is intended for hardware modeling support. the ac timing speci?ations given in this document do not apply in pll bypass mode. 15. in dual pll bypass mode, the pci_sync_in input signal clocks the internal peripheral logic directly, the peripheral logic pll is disabled, and the bus mode is set for 1:1 (pci_sync_in:mem) mode operation. in this mode, the osc_in input signal clocks the internal processor directly in 1:1 (osc_in:cpu) mode operation, and the processor pll is disabled. the pci_sync_in and osc_in input clocks must be externally synchronized. this mode is intended for hardware modeling support. the ac timing speci?ations given in this document do not apply in dual pll bypass mode. 16. limited by maximum system memory interface operating frequency (133 mhz @ 266 mhz cpu). 17. limited by minimum cpu operating frequency (100 mhz). 18. limited by minimum memory bus frequency (50 mhz). 19. pci_sync_in range for this pll_cfg[0:4] setting does not exist on the mpc8240 and may not be fully backwards-compatible. 20. no longer supported. table 18. pll con?urations (266- and 300-mhz parts) (continued) ref pll_ cfg [0:4] 10,13 266 mhz part 9 300 mhz part 9 multipliers pci clock input (pci_ sync_in) range 1 (mhz) periph logic/ mem bus clock range (mhz) cpu clock range (mhz) pci clock input (pci_ sync_in) range 1 (mhz) periph logic/ mem bus clock range (mhz) cpu clock range (mhz) pci-to- mem (mem vco) mem-to- cpu (cpu vco)
motorola mpc8245 integrated processor hardware speci?ations 41 pll configuration table 19. pll con?urations (333- and 350-mhz parts) ref pll_ cfg [0:4] 10,13 333 mhz part 9 350 mhz part 9 multipliers pci clock input (pci_ sync_in) range 1 (mhz) periph logic/ mem bus clock range (mhz) cpu clock range (mhz) pci clock input (pci_ sync_in) range 1 (mhz) periph logic/ mem bus clock range (mhz) cpu clock range (mhz) pci-to- mem (mem vco) mem-to- cpu (cpu vco) 0 00000 12 25?4 16 75?32 188?30 25?4 16 75?32 188?30 3 (2) 2.5 (2) 1 00001 12 25?7 5 75?11 225?33 25?8 5 75?14 225?42 3 (2) 3 (2) 2 00010 11 50 18 ?6 1 50?6 225?97 50 18 ?6 1 50?6 225?97 1 (4) 4.5 (2) 3 00011 11,14 50 4 ?6 1 50?6 100?33 50 4 ?6 1 50?6 100?33 1 (bypass) 2 (4) 4 00100 12 25?6 4 50?2 100?84 25?6 4 50?2 100?84 2 (4) 2 (4) 5 00101 reserved reserved note 20 6 00110 15 bypass bypass bypass 7 00111 14 60 6 ?6 1 60?6 180?98 60 6 ?6 1 60?6 180?98 1 (bypass) 3 (2) 8 01000 12 60 6 ?6 1 60?6 180?98 60 6 ?6 1 60?6 180?98 1 (4) 3 (2) 9 01001 19 45 6 ?6 1 90?32 180?64 45 6 ?6 1 90?32 180?64 2 (2) 2 (2) a 01010 12 25?7 5 50?4 225?33 25?8 5 50?6 225?42 2 (4) 4.5 (2) b 01011 19 45 3 ?6 1 68?9 204?97 45 3 ?6 1 68?9 204?97 1.5 (2) 3 (2) c 01100 12 36 6 ?6 4 72?2 180?30 36 6 ?6 4 72?2 180?30 2 (4) 2.5 (2) d 01101 19 45 3 ?3 5 68?5 238?33 45 3 ?6 1 68?9 238?47 1.5 (2) 3.5 (2) e 01110 12 30 6 ?6 4 60?2 180?76 30 6 ?6 4 60?2 180?76 2 (4) 3 (2) f 01111 19 25?1 5 75?3 263?26 25?3 5 75?9 263?47 3 (2) 3.5 (2) 10 10000 12 30 6 ?4 2 60?32 180?64 30 6 ?4 2 60?32 180?64 3 (2) 2 (2) 11 10001 19 25?3 2 100?32 250?30 25?3 2 100?32 250?30 4 (2) 2.5 (2) 12 10010 12 60 6 ?6 1 90?9 180?98 60 6 ?6 1 90?9 180?98 1.5 (2) 2 (2) 13 10011 19 25?7 5 100?08 300?24 25?9 5 100?16 300?48 4 (2) 3 (2) 14 10100 12 26 6 ?7 4 52?4 182?29 26 6 ?7 4 52?4 182?29 2 (4) 3.5 (2) 15 10101 19 27 3 ?3 5 68?3 272?32 27 3 ?4 5 68?5 272?40 2.5 (2) 4 (2) 16 10110 12 25?1 5 50?2 200?28 25?3 5 50?6 200?44 2 (4) 4 (2) 17 10111 19 25?3 2 100?32 200?64 25?3 2 100?32 200?64 4 (2) 2 (2) 18 11000 12 27 3 ?4 5 68?10 204?30 27 3 ?6 5 68?15 204?45 2.5 (2) 3 (2) 19 11001 19 36 6 ?6 1 72?32 180?30 36 6 ?6 1 72?32 180?30 2 (2) 2.5 (2) 1a 11010 12 50 18 ?6 1 50?6 200?64 50 18 ?6 1 50?6 200?64 1 (4) 4 (2) 1b 11011 19 33 6 ?5 5 66?10 198?30 33 6 ?8 5 66?16 198?48 2 (2) 3 (2)
42 mpc8245 integrated processor hardware speci?ations motorola pll configuration pll configuration 1c 11100 12 44 6 ?6 1 66?9 198?97 44 6 ?6 1 66?9 198?97 1.5 (2) 3 (2) 1d 11101 12 48 6 ?6 1 72?9 180?48 48 6 ?6 1 72?9 180?48 1.5 (2) 2.5(2) 1e 11110 8 not usable not usable off off 1f 11111 8 not usable not usable off off notes: 1. limited by maximum pci input frequency (66 mhz). 2. limited by maximum system memory interface operating frequency (100 mhz @ 350 mhz cpu). 3. limited by minimum memory vco frequency (133 mhz). 4. limited due to maximum memory vco frequency (372 mhz). 5. limited by maximum cpu operating frequency. 6. limited by minimum cpu vco frequency (360 mhz). 7. limited by maximum cpu vco frequency (800 mhz). 8. in clock off mode, no clocking occurs inside the mpc8245 regardless of the pci_sync_in input. 9. range values are shown rounded down to the nearest whole number (decimal place accuracy removed) for clarity. 10. pll_cfg[0:4] settings not listed are reserved. 11. multiplier ratios for this pll_cfg[0:4] setting are different from the mpc8240 and are not backwards-compatible. 12. pci_sync_in range for this pll_cfg[0:4] setting is different from the mpc8240 and may not be fully backwards-compatible. 13. bits 7? of register offset <0xe2> contain the pll_cfg[0:4] setting value. 14. in pll bypass mode, the pci_sync_in input signal clocks the internal processor directly, the peripheral logic pll is disabled, and the bus mode is set for 1:1 (pci:mem) mode operation. this mode is intended for hardware modeling support. the ac timing speci?ations given in this document do not apply in pll bypass mode. 15. in dual pll bypass mode, the pci_sync_in input signal clocks the internal peripheral logic directly, the peripheral logic pll is disabled, and the bus mode is set for 1:1 (pci_sync_in:mem) mode operation. in this mode, the osc_in input signal clocks the internal processor directly in 1:1 (osc_in:cpu) mode operation, and the processor pll is disabled. the pci_sync_in and osc_in input clocks must be externally synchronized. this mode is intended for hardware modeling support. the ac timing speci?ations given in this document do not apply in dual pll bypass mode. 16. limited by maximum system memory interface operating frequency (133 mhz @ 333 mhz cpu). 17. limited by minimum cpu operating frequency (100 mhz). 18. limited by minimum memory bus frequency (50 mhz). 19. pci_sync_in range for this pll_cfg[0:4] setting does not exist on the mpc8240 and may not be fully backwards-compatible. 20. no longer supported. table 19. pll con?urations (333- and 350-mhz parts) (continued) ref pll_ cfg [0:4] 10,13 333 mhz part 9 350 mhz part 9 multipliers pci clock input (pci_ sync_in) range 1 (mhz) periph logic/ mem bus clock range (mhz) cpu clock range (mhz) pci clock input (pci_ sync_in) range 1 (mhz) periph logic/ mem bus clock range (mhz) cpu clock range (mhz) pci-to- mem (mem vco) mem-to- cpu (cpu vco)
motorola mpc8245 integrated processor hardware speci?ations 43 system design information 1.7 system design information this section provides electrical and thermal design recommendations for successful application of the mpc8245. 1.7.1 pll power supply filtering the av dd and av dd 2 power signals are provided on the mpc8245 to provide power to the peripheral logic/memory bus pll and the mpc603e processor pll. to ensure stability of the internal clocks, the power supplied to the av dd and av dd 2 input signals should be ?tered of any noise in the 500 khz to 10 mhz resonant frequency range of the plls. two separate circuits similar to the one shown in figure 25 using surface mount capacitors with minimum effective series inductance (esl) is recommended for av dd and av dd 2 power signal pins. consistent with the recommendations of dr. howard johnson in high speed digital design: a handbook of black magic (prentice hall, 1993), multiple small capacitors of equal value are recommended over using multiple values. the circuits should be placed as close as possible to the respective input signal pins to minimize noise coupled from nearby circuits. routing directly as possible from the capacitors to the input signal pins with minimal inductance of vias is important. figure 25. pll power supply filter circuit 1.7.2 power supply sizing the power consumption numbers provided in table 5 do not re?ct power from the ov dd and gv dd power supplies which are non-negligible for the mpc8245. in typical application measurements, the ov dd power ranged from 200 to 500 mw and the gv dd power ranged from 300 to 600 mw. the ranges low-end power numbers were results of the mpc8245 performing cache resident integer operations at the slowest frequency combination of 33:66:200 (pci:mem:cpu) mhz. the ov dd high end ranges value resulted from the mpc8245 operating at the fastest frequency combination of 66:100:350 (pci:mem:cpu) mhz and performing continuous ?shes of cache lines with alternating ones and zeros to pci memory. the gv dd high-end ranges value resulted from the mpc8245 operating at the fastest frequency combination of 66:100:350 (pci:mem:cpu) mhz and performing continuous ?shes of cache lines with alternating ones and zeros on 64-bit boundaries to local memory. 1.7.3 decoupling recommendations due to its dynamic power management feature, the large address and data buses, and its high operating frequencies, the mpc8245 can generate transient power surges and high frequency noise in its power supply, especially while driving large capacitive loads. this noise must be prevented from reaching other components in the mpc8245 system, and the mpc8245 itself requires a clean, tightly regulated source of power. therefore, it is recommended that the system designer place at least one decoupling capacitor at each v dd , ov dd , gv dd , and lv dd pin of the mpc8245. it is also recommended that these decoupling capacitors receive their power from dedicated power planes in the pcb, utilizing short traces to minimize v dd av dd or av dd 2 2.2 ? 2.2 ? gnd low esl surface mount capacitors 10 ?
44 mpc8245 integrated processor hardware speci?ations motorola system design information system design information inductance. these capacitors should have a value of 0.1 ?. only ceramic smt (surface mount technology) capacitors should be used to minimize lead inductance, preferably 0508 or 0603, oriented such that connections are made along the length of the part. in addition, it is recommended that there be several bulk storage capacitors distributed around the pcb, feeding the v dd , ov dd , gv dd , and lv dd planes, to enable quick recharging of the smaller chip capacitors. these bulk capacitors should have a low esr (equivalent series resistance) rating to ensure the quick response time necessary. they should also be connected to the power and ground planes through two vias to minimize inductance. suggested bulk capacitors: 100?30 ? (avx tps tantalum or sanyo oscon). 1.7.4 connection recommendations to ensure reliable operation, it is highly recommended to connect unused inputs to an appropriate signal level. unused active-low inputs should be tied to ov dd . unused active-high inputs should be connected to gnd. all nc (no connect) signals must remain unconnected. power and ground connections must be made to all external v dd , ov dd , gv dd , lv dd , and gnd pins of the mpc8245. the pci_sync_out signal is intended to be routed halfway out to the pci devices and then returned to the pci_sync_in input of the mpc8245. the sdram_sync_out signal is intended to be routed halfway out to the sdram devices and then returned to the sdram_sync_in input of the mpc8245. the trace length may be used to skew or adjust the timing window as needed. see motorola application notes an1849/d, mpc107 design guide, and an2164/d, mpc8245/mpc8241 memory clock design guidelines , for more information on this topic. note that there is an sdram_sync_in to pci_sync_in time requirement (see table 10). 1.7.5 pull-up/pull-down resistor requirements the data bus input receivers are normally turned off when no read operation is in progress; therefore, they do not require pull-up resistors on the bus. the data bus signals are: mdh[0:31], mdl[0:31], and par[0:7]. if the 32-bit data bus mode is selected, the input receivers of the unused data and parity bits (mdl[0:31] and par[4:7]) will be disabled, and their outputs will drive logic zeros when they would otherwise normally be driven. for this mode, these pins do not require pull-up resistors and should be left unconnected by the system to minimize possible output switching. the test0 pin requires a pull-up resistor of 120 ? or less connected to ov dd . it is recommended that rtc have weak pull-up resistors (2?0 k ? ) connected to gv dd . it is recommended that the following signals be pulled up to ov dd with weak pull-up resistors (2?0 k ? ): sda, scl, smi , sreset /sdma12, tben/sdma13, chkst op_in /sdma14, trig_in/rcs2 , int a, and drd y it is recommended that the following pci control signals be pulled up to lv dd with weak pull-up resistors (2?0 k ? ): devsel , frame , ird y , lock , perr , serr , st op , and trd y . the resistor values may need to be adjusted stronger to reduce induced noise on speci? board designs. the following pins have internal pull-up resistors enabled at all times: req [3:0], req4 /da4, tck, tdi, tms, and trst . see table 17 for more information.
motorola mpc8245 integrated processor hardware speci?ations 45 system design information the following pins have internal pull-up resistors enabled only while device is in the reset state: gnt4 /da5, mdl0, foe , rcs0 , sdras , sdcas , cke, as , mcp , maa[0:2], pmaa[0:2], and qa ck /da0. see table 17 for more information. the following pins are reset con?uration pins: gnt4 /da5, mdl[0], foe , rcs0 , cke, as , mcp , qa ck /da0, maa[0:2], pmaa[0:2], sdma[1:0], mdh[16:31], and pll_cfg[0:4]/da[10:15]. these pins are sampled during reset to con?ure the device. the pll_cfg[0:4] signals are sampled a few clocks after the negation of hrst_cpu and hrst_ctrl. reset con?uration pins should be tied to gnd via 1-k ? pull-down resistors to ensure a logic zero level is read into the con?uration bits during reset if the default logic-one level is not desired. any other unused active low input pins should be tied to a logic-one level via weak pull-up resistors (2?0 k ? ) to the appropriate power supply listed in table 17. unused active high input pins should be tied to gnd via weak pull-down resistors (2?0 k ? ). 1.7.6 pci reference voltage?v dd the mpc8245 pci reference voltage (lv dd ) pins should be connected to 3.3 ?0.3 v power supply if interfacing the mpc8245 into a 3.3-v pci bus system. similarly, the lv dd pins should be connected to 5.0 v ?5% power supply if interfacing the mpc8245 into a 5-v pci bus system. for either reference voltage, the mpc8245 always performs 3.3-v signaling as described in the pci local bus speci?ation (rev. 2.2). the mpc8245 tolerates 5-v signals when interfaced into a 5-v pci bus system. 1.7.7 mpc8245 compatibility with mpc8240 the mpc8245 ac timing speci?ations are backwards-compatible with those of the mpc8240, except for the requirements of item 11 in table 10. timing adjustments are needed as speci?d for t os (sdram_sync_in to sys_logic_clk offset) time requirements. the mpc8245 does not support the sdram ?w-through memory interface. the nominal core v dd power supply changes from 2.5 v on the mpc8240 to 1.8/2.0 v on the mpc8245. see table 2 for details. the mpc8245 pll_cfg[0:4] setting 0x02 (0b00010) has a different ?ci-to-mem and ?em-to-cpu multiplier ratio than the same setting on the mpc8240, and thus, is not backwards-compatible. see table 18 for details. most of the mpc8240 pll_cfg[0:4] settings are subsets of the pci_sync_in input frequency range accepted by the mpc8245. however, the parts will not be fully backwards-compatible since the ranges of the two parts do not always match. note that modes 0x8 and 0x18 of the mpc8245 are not compatible with settings 0x8 and 0x18 on the mpc8240. see table 18 and table 19 for details. there are two additional reset con?uration signals on the mpc8245 which are not used as reset con?uration signals on the mpc8240: sdma0 and sdma1. the sdma0 reset con?uration pin selects between the mpc8245 duart or the mpc8240 backwards compatible mode pci_clk[0:4] functionality on these multiplexed signals. the default state (logic 1) of sdma0 selects the mpc8240 backwards compatible mode of pci_clk[0:4] functionality while a logic 0 state on the sdma0 signal selects duart functionality. note if using the duart mode, four of the ?e pci clocks, pci_clk[0:3], are not available.
46 mpc8245 integrated processor hardware speci?ations motorola system design information system design information the sdma1 reset con?uration pin selects between mpc8245 extended rom functionality or mpc8240 backwards-compatible functionality on the multiplexed signals: tben, chkst op_in , sreset , trig_in, and trig_out. the default state (logic 1) of sdma1 selects the mpc8240 backwards-compatible mode functionality, while a logic 0 state on the sdma1 signal selects extended rom functionality. note if using the extended rom mode, tben, chkst op_in , sreset , trig_in, and trig_out functionality are not available. the driver names and capability of the pins for the mpc8245 and that of the mpc8240 vary slightly. refer to the drive capability table (for the odcr register at 0x73) in the mpc8240 integrated processor hardware speci?ations and table 4 for more details. the programmable pci output valid and output hold feature controlled by bits in the power management con?uration register 2 (pmcr2) <0x72> has changed slightly in the mpc8245. for the mpc8240, 3 bits, pmcr2[6:4] = pci_hold_del, are used to select 1 of 8 possible pci output timing con?urations. pmcr2[6:5] are software controllable but initially are set by the reset con?uration state of the mcp and cke signals, respectively; pmcr2[4] can be changed by software. the default con?uration for pmcr2[6:4] = 0b110 since the mcp and cke signals have internal pull-up resistors, but this default con?uration does not select 33 or 66 mhz pci operation output timing parameters for the mpc8240; this choice is made by software. for the mpc8245, only 2 bits in the power management con?uration register 2 (pmcr2), pmcr2[5:4] = pci_hold_del, control the variable pci output timing. pmcr2[5:4] are software controllable but initially are set by the inverted reset con?uration state of the mcp and cke signals, respectively. the default con?uration for pmcr2[5:4] = 0b00 since the mcp and cke signals have internal pull-up resistors and the values from these signals are inverted; this default con?uration selects 66 mhz pci operation output timing parameters. there are four programmable pci output timing con?urations on the mpc8245, see table 11 for details. voltage sequencing requirements for the mpc8245 are similar to those for the mpc8240; however, there are two changes which are applicable for the mpc8245. first, there is an additional requirement for the mpc8245 that the non-pci input voltages (v in ) must not be greater than gv dd or ov dd by more than 0.6 v at all times including during power-on reset (see caution 5 in table 2). second, for the mpc8245, lv dd must not exceed ov dd by more than 3.0 v at any time including during power-on reset (see caution 10 in table 2); the allowable separation between lv dd and ov dd is 3.6 v for the mpc8240. there is no lav dd input voltage supply signal on the mpc8245 since the sdram clock delay-locked loop (dll) has power supplied internally. signal d17 should be treated as a no connect for the mpc8245. 1.7.8 jtag con?uration signals boundary scan testing is enabled through the jtag interface signals. the trst signal is optional in the ieee 1149.1 speci?ation, but is provided on all processors that implement the powerpc architecture. while it is possible to force the tap controller to the reset state using only the tck and tms signals, more reliable power-on reset performance will be obtained if the trst signal is asserted during power-on reset. because the jtag interface is also used for accessing the common on-chip processor (cop) function, simply tying trst to hreset is not practical. the cop function of these processors allows a remote computer system (typically, a pc with dedicated hardware and debugging software) to access and control the internal operations of the processor. the cop interface connects primarily through the jtag port of the processor, with some additional status monitoring signals. the cop port requires the ability to independently assert hreset or trst in order to fully control the processor. if the target system has independent reset sources, such as voltage monitors, watchdog timers, power supply failures, or push-button switches, then the cop reset signals must be merged into these signals with logic.
motorola mpc8245 integrated processor hardware speci?ations 47 system design information the arrangement shown in figure 26 allows the cop to independently assert hreset or trst , while ensuring that the target can drive hreset as well. if the jtag interface and cop header will not be used, trst should be tied to hreset so that it is asserted when the system reset signal (hreset ) is asserted ensuring that the jtag scan chain is initialized during power-on. the cop header shown in figure 26 adds many bene?s?reakpoints, watchpoints, register and memory examination/modi?ation, and other standard debugger features are possible through this interface?nd can be as inexpensive as an unpopulated footprint for a header to be added when needed. the cop interface has a standard header for connection to the target system, based on the 0.025" square-post, 0.100" centered header assembly (often called a berg header). there is no standardized way to number the cop header shown in figure 26; consequently, many different pin numbers have been observed from emulator vendors. some are numbered top-to-bottom then left-to-right, while others use left-to-right then top-to-bottom, while still others number the pins counter clockwise from pin 1 (as with an ic). regardless of the numbering, the signal placement recommended in figure 26 is common to all known emulators.
48 mpc8245 integrated processor hardware speci?ations motorola system design information system design information figure 26. cop connector diagram 1.7.9 thermal management information this section provides thermal management information for the tape ball grid array (tbga) package for air-cooled applications. depending on the application environment and the operating frequency, heat sinks hreset hrst_cpu hrst_ctrl from target board sources hreset 13 sreset 5 sreset 5 sreset 5 nc nc nc 11 vdd_sense 6 5 2 15 3 1 k ? 10 k ? 10 k ? 10 k ? ov dd ov dd ov dd ov dd chkst op_in 6 chkst op_in 6 8 tms tdo tdi tck tms tdo tdi tck 9 1 3 4 trst 7 16 2 10 12 (if any) cop header 14 4 key notes: 1. qa ck is an output on the mpc8245 and is not required at the cop header for emulation. 2. run/st op normally found on pin 5 of the cop header is not implemented on the mpc8245. connect pin 5 of the cop header to ov dd with a 1- k ? pull-up resistor. 3. ckstp_out normally found on pin 15 of the cop header is not implemented on the mpc8245. connect pin 15 of the cop header to ov dd with a 10-k ? pull-up resistor. 4. pin 14 is not physically present on the cop header. qa ck 1 ov dd ov dd 10 k ? ov dd trst 10 k ? ov dd 10 k ? 10 k ? 5. sreset functions as output sdma12 in extended rom mode. 6. chkst op_in functions as output sdma14 in extended rom mode. mpc8245 3 13 9 5 1 6 10 2 15 11 7 16 12 8 4 key no pin cop connector physical pin out 1
motorola mpc8245 integrated processor hardware speci?ations 49 system design information may be required to maintain junction temperature within speci?ations. proper thermal control design is primarily dependent upon the system-level design: the heat sink, air?w, and thermal interface material. to reduce the die-junction temperature, heat sinks may be attached to the package by several methods: adhesive, spring clip to holes in the printed-circuit board or package, or mounting clip and screw assembly; see figure 27. figure 27. package exploded cross-sectional view with several heat sink options figure 28 depicts the die junction-to-ambient thermal resistance for four typical cases: a heat sink is not attached to the tbga package and there exists a high board-level thermal loading from adjacent components. a heat sink is not attached to the tbga package and there exists a low board-level thermal loading from adjacent components. a heat sink (for example, chipcoolers) is attached to the tbga package and there exists high board-level thermal loading from adjacent components. a heat sink (for example, chipcoolers) is attached to the tbga package and there exists low board-level thermal loading from adjacent components. thermal interface heat sink tbga package heat sink clip printed-circuit board option material die adhesive or
50 mpc8245 integrated processor hardware speci?ations motorola system design information system design information figure 28. die junction-to-ambient resistance the board designer can choose between several types of heat sinks to place on the mpc8245. there are several commercially available heat sinks for the mpc8245 provided by the following vendors: aavid thermalloy 603-224-9988 80 commercial st. concord, nh 03301 internet: www.aavidthermalloy.com alpha novatech 408-749-7601 473 sapena ct. #15 santa clara, ca 95054 internet: www.alphanovatech.com international electronic research corporation (ierc) 818-842-7277 413 north moss st. burbank, ca 91502 internet: www.ctscorp.com tyco electronics 800-522-6752 chip coolers p.o. box 3668 harrisburg, pa 17105-3668 internet: www.chipcoolers.com 2 4 6 8 10 12 14 16 18 0 0.5 1 1.5 2 2.5 die junction-to-ambient thermal resistance (?c/w) airflow velocity (m/s) no heat sink and high thermal board-level loading of adjacent components no heat sink and low thermal board-level loading of adjacent components attached heat sink and high thermal board-level loading of adjacent components attached heat sink and low thermal board-level loading of adjacent components
motorola mpc8245 integrated processor hardware speci?ations 51 system design information wake?ld engineering 603-635-5102 33 bridge st. pelham, nh 03076 internet: www.wake?ld.com ultimately, the ?al selection of an appropriate heat sink depends on many factors, such as thermal performance at a given air velocity, spatial volume, mass, attachment method, assembly, and cost. other heat sinks offered by aavid thermalloy, alpha novatech, ierc, chip coolers, and wake?ld engineering offer different heat sink-to-ambient thermal resistances, and may or may not need air?w. 1.7.9.1 internal package conduction resistance for the tbga, cavity down, packaging technology, shown in figure 29, the intrinsic conduction thermal resistance paths are as follows: the die junction-to-case thermal resistance the die junction-to-ball thermal resistance figure 29 depicts the primary heat transfer path for a package with an attached heat sink mounted to a printed-circuit board. figure 29. tbga package with heat sink mounted to a printed-circuit board in tbga package the active side of the die faces the printed-circuit board. most of the heat travels through the die, across the die attach layer, into the copper spreader. some of the heat is removed from the top surface of the spreader through convection and radiation. another portion of the heat enters the printed-circuit board through the solder balls. the heat is then removed off the exposed surfaces of the board through convection and radiation. if a heat sink is used a larger percentage of heat leaves through the top side of the spreader. 1.7.9.2 adhesives and thermal interface materials a thermal interface material is recommended between the top of the package and the bottom of the heat sink to minimize the thermal contact resistance. for those applications where the heat sink is attached by spring clip mechanism, figure 30 shows the thermal performance of three thin-sheet thermal-interface materials external resistance external resistance internal resistance radiation convection radiation convection heat sink printed-circuit board thermal interface material package/leads die junction die/package (note the internal versus external package resistance)
52 mpc8245 integrated processor hardware speci?ations motorola system design information system design information (silicone, graphite/oil, ?roether oil), a bare joint, and a joint with thermal grease as a function of contact pressure. as shown, the performance of these thermal interface materials improves with increasing contact pressure. the use of thermal grease signi?antly reduces the interface thermal resistance. that is, the bare joint results in a thermal resistance approximately seven times greater than the thermal grease joint. heat sinks are attached to the package by means of a spring clip to holes in the printed-circuit board (see figure 30). therefore, the synthetic grease offers the best thermal performance, considering the low interface pressure. of course, the selection of any thermal interface material depends on many factors: thermal performance requirements, manufacturability, service temperature, dielectric properties, cost, etc. figure 30. thermal performance of select thermal interface material the board designer can choose between several types of thermal interface. heat sink adhesive materials should be selected based upon high conductivity, yet adequate mechanical strength to meet equipment shock/vibration requirements. there are several commercially-available thermal interfaces and adhesive materials provided by the following vendors: chomerics, inc. 781-935-4850 77 dragon ct. woburn, ma 01888-4014 internet: www.chomerics.com dow-corning corporation 800-248-2481 dow-corning electronic materials 2200 w. salzburg rd. midland, mi 48686-0997 internet: www.dow.com 0 0.5 1 1.5 2 0 1020304050607080 silicone sheet (0.006 in.) bare joint floroether oil sheet (0.007 in.) graphite/oil sheet (0.005 in.) synthetic grease contact pressure (psi) specific thermal resistance (k-in. 2 /w)
motorola mpc8245 integrated processor hardware speci?ations 53 system design information shin-etsu microsi, inc. 888-642-7674 10028 s. 51st st. phoenix, az 85044 internet: www.microsi.com the bergquist company 800-347-4572 18930 west 78 th st. chanhassen, mn 55317 internet: www.bergquistcompany.com thermagon inc. 888-246-9050 4707 detroit ave. cleveland, oh 44102 internet: www.thermagon.com 1.7.9.3 heat sink usage an estimation of the chip junction temperature, t j , can be obtained from the equation: t j = t a + (r ja p d ) where t a = ambient temperature for the package ( c) r ja = junction-to-ambient thermal resistance ( c/w) p d = power dissipation in the package (w) the junction-to-ambient thermal resistance is an industry-standard value that provides a quick and easy estimation of thermal performance. unfortunately, two values are in common usage: the value determined on a single-layer board and the value obtained on a board with two planes. which value is closer to the application depends on the power dissipated by other components on the board. the value obtained on a single-layer board is appropriate for the tightly packed printed-circuit board. the value obtained on the board with the internal planes is usually appropriate if the board has low power dissipation and the components are well separated. when a heat sink is used, the thermal resistance is expressed as the sum of a junction-to-case thermal resistance and a case-to-ambient thermal resistance: r ja = r jc + r ca where r ja = junction-to-ambient thermal resistance ( c/w) r jc = junction-to-case thermal resistance ( c/w) r ca = case-to-ambient thermal resistance ( c/w) r jc is device-related and cannot be in?enced by the user. the user controls the thermal environment to change the case-to-ambient thermal resistance, r ca . for instance, the user can change the size of the heat sink, the air?w around the device, the interface material, the mounting arrangement on the printed-circuit board, or the thermal dissipation on the printed-circuit board surrounding the device. to determine the junction temperature of the device in the application without a heat sink, the thermal characterization parameter ( jt ) can be used to determine the junction temperature with a measurement of the temperature at the top center of the package case using the following equation: t j = t t + ( jt p d )
54 mpc8245 integrated processor hardware speci?ations motorola system design information system design information where: t t = thermocouple temperature atop the package ( c) jt = thermal characterization parameter ( c/w) p d = power dissipation in package (w) the thermal characterization parameter is measured per jesd51-2 speci?ation using a 40-gauge type t thermocouple epoxied to the top center of the package case. the thermocouple should be positioned so that the thermocouple junction rests on the package. a small amount of epoxy is placed over the thermocouple junction and over about 1 mm of wire extending from the junction. the thermocouple wire is placed ?t against the package case to avoid measurement errors caused by cooling effects of the thermocouple wire. when a heat sink is used, the junction temperature is determined from a thermocouple inserted at the interface between the case of the package and the interface material. a clearance slot or hole is normally required in the heat sink. minimizing the size of the clearance is important to minimize the change in thermal performance caused by removing part of the thermal interface to the heat sink. because of the experimental dif?ulties with this technique, many engineers measure the heat sink temperature and then back calculate the case temperature using a separate measurement of the thermal resistance of the interface. from this case temperature, the junction temperature is determined from the junction-to-case thermal resistance. in many cases, it is appropriate to simulate the system environment using a computational ?id dynamics thermal simulation tool. in such a tool, the simplest thermal model of a package which has demonstrated reasonable accuracy (about 20%) is a two-resistor model consisting of a junction-to-board and a junction-to-case thermal resistance. the junction-to-case covers the situation where a heat sink will be used or where a substantial amount of heat is dissipated from the top of the package. the junction-to-board thermal resistance describes the thermal performance when most of the heat is conducted to the printed-circuit board. 1.7.10 references semiconductor equipment and materials international 805 east middle?ld rd. mountain view, ca 94043 (415) 964-5111 mil-spec and eia/jesd (jedec) speci?ations are available from global engineering documents at 800-854-7179 or 303-397-7956. jedec speci?ations are available on the web at http://www.jedec.org.
motorola mpc8245 integrated processor hardware speci?ations 55 document revision history 1.8 document revision history table 20 provides a revision history for this hardware speci?ation. table 20. revision history table rev. no. substantive change(s) 0.0 initial release. 0.1 made vdd/avdd/avdd2 = 1.8 v ?100 mv information for 133 mhz memory interface operation to section 1.3, table 2, table 5, table 9, table 17, and section 1.7.2. pin d17, formerly lavdd (supply voltage for dll), is a no connect on the mpc8245 since the dll voltage is supplied internally. eliminated all references to lavdd; updated section 1.7.1. previous note 4 of table 2 did not apply to the mpc8245 (mpc8240 document legacy). new note 4 added in reference to max cpu speed at reduced vdd voltage. updated the programmable output impedance of dev_mem_addr in table 4 to 6 ? to re?ct characterization data. updated table 5 to re?ct reduced power consumption when operating vdd/avdd/avdd2 = 1.8 v ?100 mv. changed notes 2, 3, and 4 to re?ct vdd at 1.9 v. changed note 5 to represent vdd = avdd = 1.8 v. updated table 7 to re?ct vdd/avdd/avdd2 voltage level operating frequency dependencies; changed 250 mhz device column to 266 mhz; modi?d note 1 eliminating vco references; added note 2. changed 250 mhz processor frequency offering to 266 mhz. changed spec 12b for memory output valid time in table 11 from 5.5 ns to 4.5 ns; this is a key speci?ation change to enable 133 mhz memory interface designs. updated pinout table 16 with the following changes: pin types for rcs0 , rcs3 /trig_out and da[11:15] were erroneously listed as i/o, changed pin types to output. pin types for req4 /da4, rcs2 /trig_in, and pll_cfg[0:4]/da[10:6] were erroneously listed as input, changed pin types to i/o. changed pin d17 from lavdd to no connect; deleted note 21 and references. notes 3, 5, and 7 contained references to the mpc8240 (mpc8240 document legacy); changed these references to mpc8245. previous notes 13 and 14 did not apply to the mpc8245 (mpc8240 document legacy), these notes were deleted; moved note 19 to become new note 13; moved note 20 to become new note 14; updated associated references. added note 3 to sdma[1:0] signals about internal pull-up resistors during reset state. reversed vector ordering for the pci interface signals: c/be [0:3] changed to c/be [3:0], ad[0:31] changed to ad[31:0], gnt [0:3] changed to gnt [3:0], and req [0:3] changed to req [3:0]. the package pin number orderings were also reversed meaning that pin functionality did not change. for example, ad0 is still on signal c22, ad1 is still on signal d22, ..., ad31 is still on signal v25. this change was made to make the vectored pci signals in this hardware speci?ation consistent with the pci local bus speci?ation and the mpc8245 integrated processor users manual vector ordering. changed test1 /drd y signal on pin b20 to drd y . changed test2 signal on pin y2 to rtc for performance monitor use. updated pll table 17 with the following changes for 133 mhz memory interface operation: added ref. 9 (01001) and ref. 17 (10111) details; removed these settings from note 10 (reserved settings list). enhanced range of ref. 10 (10000). updated note 13, changed bits 16?0 erroneous information to correct bits 23?9. added notes 16 and 17. added information to section 1.7.8, in reference to chkst op_in and sreset not being available in extended rom mode.
56 mpc8245 integrated processor hardware speci?ations motorola document revision history document revision history 0.2 changed core supply voltage to 2.0 ?100 mv in section 1.3. (supply voltage of 1.8 ?100 mv is no longer recommended.) changed rows 2, 5, and 6 of table 2 to 2.0 ?100 mv in the ?ecommended value column. changed the power consumption numbers in table 5 to re?ct the power values for vdd = 2.0 v. (notes 2, 3, 4, and 5 of the table were also updated to re?ct the new value of vdd.) updated table 9 for vdd/avdd/avdd2 to 2.0 ?100 mv. table 8: vdd/avdd/avdd2 was changed to 2.0 v for both cpu frequency offerings. note 2 was updated by removing the ?t reduced voltage... statement. table 10: update maximum time of the rows 12a0 through 12a3. table 16: fixed overbars for the active-low signals. changed pin type information for vdd, avdd, and avdd2 to 2.0 v. changed note 16 of table 17 to a value of 2.0 v for vdd/avdd/avdd2. removed second sentence of the second paragraph in section 1.7.2, because it referenced information about a 1.8-v design. removed reference to 1.8 v in third sentence of section 1.7.7. 0.3 section 1.4.1.5: changed max-fp value for 33/133/266 of table 5, from 2.3 to 2.1 watts, to represent characterizaiton data. changed note 4 to say vdd = 2.1 for power measurements (for 2-v part). changed numbers for maximum i/o power supplies for ovdd and gvdd to represent characterization data. section 1.4.3.1: added four graphs (figures 5?) and description for dll locking range vs. frequency of operation to replace figure 5 of rev 0.2 document. section 1.4.3.2: added row (item 11: t su ?dram_sync_in to pci_sync_in timing) to table 9, to include offset change requirement. section 1.5.3: changed note 4 of pll_cfg pins in table 16 to note 20. section 1.7.2: added diode (mur420) to figure 27, voltage sequencing circuit. this is to compensate for voltage extremes in design. section 1.7.5: added sentence with regards to sdram_sync_in to pci_sync_in timing requirement (t su ) as a connection recommendation. section 1.7.8: mention of t su offset timing, and driver capability differences between the mpc8240 and the mpc8245. 0.4 section 1.2: changed features list (format) to match with the features list of the mpc8245 integrated processor users manual . section 1.4.1.2: updated table 2 to include 1.8 ?100mv numbers. section 1.4.3: changed table 7 to include new part offerings of 333 and 350 mhz. added rows to include vco frequency ranges for all parts for both memory vco and cpu vco. section 1.4.1.5: updated power consumption table to include 1.8 v (vdd) and higher frequency numbers. section 1.4.3: updated table 7 to include higher frequency offerings and cpu vco frequency range. section 1.4.3.1: changed lettering to caps for dll_extend and dll_max_delay in graph description section. section 1.4.3.2: changed name of item 11 from t su ?dram_sync_in to pci_sync_in time to t os ?dram_sync_in to sys_logic_clk offset time. changed name to t os in note 7 as well. section 1.6: updated notes in table 17. included minimum and maximum vco numbers for memory vco. changed note 13 for location of pll_cfg[0:4] to correct bits location. bits 7? of register offset <0xe2>. added table 18 to cover pll con?uration of higher frequency part offerings. section: 1.7: changed frequency ranges for reference numbers 0, 9, 10, and 17, for the 300 mhz part, to include the higher memory bus frequencies when operating at lower cpu bus frequencies. added table 18 to include pll con?urations for the 333 mhz and the 350 mhz cpu part offerings. added vco multiplers in tables 17 and 18. section 1.7.8: changed t su ?dram_sync_in to pci_sync_in time to t os ?dram_ sync_in to sys_logic_clk offset time. section 1.7.10: added vendor (cool innovations, inc.) to list of heat sink vendors. 0.5 corrected labels for figures 5 through 8. table 20. revision history table (continued) rev. no. substantive change(s)
motorola mpc8245 integrated processor hardware speci?ations 57 ordering information 1.9 ordering information ordering information for the parts fully covered by this speci?ation document is provided in section 1.9.1, ?art numbers fully addressed by this document.?section 1.9.2, ?art numbers not fully addressed by this document,?lists the part numbers which do not fully conform to the speci?ations of this document. these special part numbers require an additional document called a part number speci?ation. 1.9.1 part numbers fully addressed by this document table 21 provides the motorola part numbering nomenclature for the mpc8245. note that the individual part numbers correspond to a maximum processor core frequency. for available frequencies, contact your local motorola sales of?e. in addition to the processor frequency, the part numbering scheme also includes an application modi?r which may specify special application conditions. each part number also contains 1 updated document template. section 1.4.1.4 ? changed the driver type names in table 6 to match with the names used in the mpc8245 users manual. section 1.5.3 ? updated driver type names for signals in table 16 to match with names used in the mpc8245 integrated processor users manual . section 1.4.1.2 ? updated table 7 to refer to new pll tables for vco limits. section 1.4.3.3 ? added item 12e to table 10 for sdram_sync_in to output valid timing. section 1.5.1 ? updated solder balls information to 62sn/36pb/2ag. section 1.6 ? updated pll tables 17 and 18 and appropriate notes to re?ct changes of vco ranges for memory and cpu frequencies. section 1.7?updated voltage sequencing requirements in table 2 and removed section 1.7.2. section 1.7.8?updated trst inforrmation and figure 26. new section 1.7.2?updated the range of i/o power consumption numbers for ovdd and gvdd to correct values as in table 5. updated fastest frequency combination to 66:100:350 mhz. section 1.7.9?updated list for heat sink and thermal interface vendors. section 1.9?changed format of ordering information section. added tables to reflect part number specifications also available. added sections 1.9.2 and 1.9.3. 2 globally changed epic to pic. section 1.4.1.4 ? note 5: changed register reference from 0x72 to 0x73. section 1.4.1.5 ? table 5: updated power dissipation numbers based on latest characterization data. section 1.4.2 ? table 6: updated table to show more thermal speci?ations. section 1.4.3 ? table 7: updated minimum memory bus value to 50 mhz. section 1.4.3.1 ? changed equations for dll locking range based on characterization data. added updates and reference to an2164 for note 6. added table de?ing t dp parameters. labeled n value in figures 5 through 8. section 1.4.3.2 ? table 10: changed bit de?itions for tap points. updated note on t os and added reference to an2164 for note 7. updated figure 9 to show signi?ance of t os . section 1.4.3.4?dded column for sdram_clk @ 133 mhz sections 1.5.1 and 1.5.2?orrected packaging information to state tbga packaging. section 1.5.3?orrected some signals in table 16 which were missing overbars in the rev 1.0 release of the document. section 1.6?pdated note 10 of tables 18 and 19. section 1.7.3 ? changed sentence recommendation regarding decoupling capacitors. section 1.9 ? updated format of tables in ordering information section. table 20. revision history table (continued) rev. no. substantive change(s)
58 mpc8245 integrated processor hardware speci?ations motorola ordering information ordering information a revision code which refers to the die mask revision number. the revision level can be determined by reading the revision id register at address offset 0x08. 1.9.2 part numbers not fully addressed by this document parts with application modi?rs or revision levels not fully addressed in this speci?ation document are described in separate part number speci?ations which supplement and supersede this document; see table 22 and table 23. the revision level can be determined by reading the revision id register at address offset 0x08. table 21. part numbering nomenclature mpc nnnn l xx nnn x product code part identi?r process descriptor package 1 processor frequency 2 revision level mpc 8245 l: 1.8/2.0 v ?100 mv 0 to 105 c zu = tbga 266 300 b:1.2 rev. id:0x12 d:1.4 rev id:0x14 mpc 8245 l: 2.0 v ?100 mv 0 to 105 c zu = tbga 333 350 b:1.2 rev. id:0x12 d:1.4 rev id:0x14 notes: 1. see section 1.5, ?ackage description, for more information on available package types. 2. processor core frequencies supported by parts addressed by this speci?ation only. not all parts described in this speci?ation support all core frequencies. additionally, parts addressed by part number speci?ations may support other maximum core frequencies. table 22. part numbers addressed by mpc8245tzu nnnx series part number speci?ation markings (document order no. mpc8245tzupns/d) mpc nnnn x xx nnn x product code part identi?r process descriptor package 1 processor frequency 2 revision level mpc 8245 t : 2.0 v ?100 mv ?0 to 105 c zu = tbga 266 300 333 350 b:1.2 rev. id:0x12 d:1.4 rev id:0x14 notes: 1. see section 1.5, ?ackage description, for more information on available package types. 2. processor core frequencies supported by parts addressed by this speci?ation only. not all parts described in this speci?ation support all core frequencies. additionally, parts addressed by part number speci?ations may support other maximum core frequencies.
motorola mpc8245 integrated processor hardware speci?ations 59 ordering information parts are marked as the example shown in figure 31. figure 31. part marking for tbga device table 23. part numbers addressed by mpc8245rzu nnnx series part number speci?ation markings (document order no. mpc8245rzupns/d) mpc nnnn x xx nnn x product code part identi?r process descriptor package 1 processor frequency 2 revision level mpc 8245 r: 2.1 v ?100 mv 0 to 85 c zu = tbga 400 b:1.2 rev. id:0x12 d:1.4 rev id:0x14 notes: 1. see section 1.5, ?ackage description, for more information on available package types. 2. processor core frequencies supported by parts addressed by this speci?ation only. not all parts described in this speci?ation support all core frequencies. additionally, parts addressed by part number speci?ations may support other maximum core frequencies. tbga mpc8245l zu350c mmmmmm atwlyywwa 8245 notes : ccccc is the country of assembly. this space is left blank if parts are assembled in the united states. mmmmmm is the 6-digit mask number. atwlyywwa is the traceability code. notes : ccccc is the country of assembly. this space is left blank if parts are assembled in the united states. mmmmmm is the 6-digit mask number. atwlyywwa is the traceability code.
mpc8245ec/d how to reach us: usa/europe/locations not listed: motorola literature distribution p.o. box 5405, denver, colorado 80217 1-303-675-2140 (800) 441-2447 japan: motorola japan ltd. sps, technical information center 3-20-1, minami-azabu minato-ku tokyo 106-8573 japan 81-3-3440-3569 asia/pacific: motorola semiconductors h.k. ltd. silicon harbour centre, 2 dai king street tai po industrial estate, tai po, n.t., hong kong 852-26668334 technical information center: (800) 521-6274 home page: www.motorola.com/semiconductors information in this document is provided solely to enable system and software implementers to use motorola products. there are no express or implied copyright licenses granted hereunder to design or fabricate any integrated circuits or integrated circuits based on the information in this document. motorola reserves the right to make changes without further notice to any products herein. motorola makes no warranty, representation or guarantee regarding the suitability of its products for any particular purpose, nor does motorola assume any liability arising out of the application or use of any product or circuit, and speci?ally disclaims any and all liability, including without limitation consequential or incidental damages. ?ypical parameters which may be provided in motorola data sheets and/or speci?ations can and do vary in different applications and actual performance may vary over time. all operating parameters, including ?ypicals must be validated for each customer application by customers technical experts. motorola does not convey any license under its patent rights nor the rights of others. motorola products are not designed, intended, or authorized for use as components in systems intended for surgical implant into the body, or other applications intended to support or sustain life, or for any other application in which the failure of the motorola product could create a situation where personal injury or death may occur. should buyer purchase or use motorola products for any such unintended or unauthorized application, buyer shall indemnify and hold motorola and its of?ers, employees, subsidiaries, af?iates, and distributors harmless against all claims, costs, damages, and expenses, and reasonable attorney fees arising out of, directly or indirectly, any claim of personal injury or death associated with such unintended or unauthorized use, even if such claim alleges that motorola was negligent regarding the design or manufacture of the part. motorola and the stylized m logo are registered in the u.s. patent and trademark of?e. digital dna is a trademark of motorola, inc. all other product or service names are the property of their respective owners. motorola, inc. is an equal opportunity/af?mative action employer. ?motorola, inc. 2002


▲Up To Search▲   

 
Price & Availability of XPC8245LZU333B

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X